Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3583781.3590278acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Placement Legalization Amenable to Mixed-cell-height Standard Cells Integrating into State-of-the-art Commercial EDA Tool

Published: 05 June 2023 Publication History

Abstract

Conventional standard cell libraries are composed of cells of diverse logic functions, all of which commonly and strictly maintain an equal height i.e., single-row-height. However, as the process technology node advances, large cells such as flip-flops are designed with multi-row-height to balance the horizontal and vertical pin accessibility to the cells. For designs with cell instances of mixed-height i.e., single-row-height and multi-row-height cell instances, the placement legalization problem becomes more difficult since the additional power-rail alignment constraint should be imposed to the multi-row-height cells. In this work, we propose a polynomial-time refinement algorithm amenable to the placement legalization of mixed-height cells. Precisely, from a placement legalization delivered by a state-of-the-art commercial tool, our algorithm iteratively and effectively refines the legalization by employing our comprehensive cell swapping mechanism with efficient internal data structures for cost computation. Through experiments with ISPD2015 benchmark circuits, it is shown that our method is able to simultaneously reduce the total displacement and HPWL by 16.7% and 20.8% on average over the legalization results produced by the state-of-the-art commercial tool for designs with the chip utilization over 0.8, respectively.

References

[1]
Sang-Hoon Baek, Ha-Young Kim, Young-Keun Lee, Duck-Yang Jin, Se-Chang Park, and Jun-Dong Cho. 2008. Ultra-high density standard cell library using multi-height cell structure. In Smart Structures, Devices, and Systems IV, Vol. 7268. SPIE, 70--77.
[2]
Ismail S Bustany, David Chinnery, Joseph R Shinnerl, and Vladimir Yutsis. 2015. ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement. In Proceedings of the 2015 Symposium on International Symposium on Physical Design. 157--164.
[3]
Cadence 2015. Cadence Innovus. https://www.cadence.com.
[4]
Wing-Kai Chow, Chak-Wa Pui, and Evangeline FY Young. 2016. Legalization algorithm for multiple-row height standard cell design. In Proceedings of the 53rd Annual Design Automation Conference. 1--6.
[5]
Lawrence T Clark, Vinay Vashishtha, Lucian Shifren, Aditya Gujja, Saurabh Sinha, Brian Cline, Chandarasekaran Ramamurthy, and Greg Yeric. 2016. ASAP7: A 7-nm finFET predictive process design kit. Microelectronics Journal, Vol. 53 (2016), 105--115.
[6]
Sorin Dobre, Andrew B Kahng, and Jiajia Li. 2015. Mixed cell-height implementation for improved design quality in advanced nodes. In 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 854--860.
[7]
Xu He, Tao Huang, Wing-Kai Chow, Jian Kuang, Ka-Chun Lam, Wenzan Cai, and Evangeline FY Young. 2013. Ripple 2.0: High quality routability-driven placement via global router integration. In Proceedings of the 50th Annual Design Automation Conference. 1--6.
[8]
Chung-Yao Hung, Peng-Yi Chou, and Wai-Kei Mak. 2017. Mixed-cell-height standard cell placement legalization. In Proceedings of the on Great Lakes Symposium on VLSI 2017. 149--154.
[9]
Myung-Chul Kim, Jin Hu, Dong-Jin Lee, and Igor L Markov. 2011. A SimPLR method for routability-driven placement. In 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 67--73.
[10]
Yibo Lin, Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Natarajan Viswanathan, Wen-Hao Liu, Zhuo Li, Charles J Alpert, and David Z Pan. 2016. MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes. In 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). ACM, 1--8.
[11]
Chi-Lin Liu, Ting-Wei Chiang, Jerry Chang-Jui Kao, Hui-zhong Zhuang, Lee-Chung Lu, Shang-Chih Hsieh, and Che Min Huang. 2017. Flip-flop with delineated layout for reduced footprint. US Patent 9,641,161.
[12]
Peter Spindler, Ulf Schlichtmann, and Frank M Johannes. 2008. Abacus: Fast legalization of standard cell circuits with minimal movement. In Proceedings of the 2008 international symposium on Physical design. 47--53.
[13]
Chao-Hung Wang, Yen-Yi Wu, Jianli Chen, Yao-Wen Chang, Sy-Yen Kuo, Wenxing Zhu, and Genghua Fan. 2017. An effective legalization algorithm for mixed-cell-height standard cells. In 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 450--455.
[14]
Jun Wang, Alfred K Wong, and Edmund Y Lam. 2004. Standard cell layout with regular contact placement. IEEE transactions on semiconductor manufacturing, Vol. 17, 3 (2004), 375--383.
[15]
Gang Wu and Chris Chu. 2015. Detailed placement algorithm for VLSI design with double-row height standard cells. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 35, 9 (2015), 1569--1573.
[16]
Ziran Zhu, Xingquan Li, Yuhang Chen, Jianli Chen, Wenxing Zhu, and Yao-Wen Chang. 2018. Mixed-cell-height legalization considering technology and region constraints. In 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). ACM, 1--8.

Cited By

View all
  • (2023)Challenges on Design and Technology Co-Optimization: Design Automation Perspective2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS57524.2023.10405868(212-216)Online publication date: 6-Aug-2023
  • (2023)Fast Refinement on Placement Legalization for Designs with Mixed-Height Cells2023 20th International SoC Design Conference (ISOCC)10.1109/ISOCC59558.2023.10396066(345-346)Online publication date: 25-Oct-2023

Index Terms

  1. Placement Legalization Amenable to Mixed-cell-height Standard Cells Integrating into State-of-the-art Commercial EDA Tool

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '23: Proceedings of the Great Lakes Symposium on VLSI 2023
    June 2023
    731 pages
    ISBN:9798400701252
    DOI:10.1145/3583781
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 June 2023

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. legalization
    2. mixed-cell-height
    3. multi-row-cell-height
    4. placement
    5. standard cells

    Qualifiers

    • Research-article

    Conference

    GLSVLSI '23
    Sponsor:
    GLSVLSI '23: Great Lakes Symposium on VLSI 2023
    June 5 - 7, 2023
    TN, Knoxville, USA

    Acceptance Rates

    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)101
    • Downloads (Last 6 weeks)9
    Reflects downloads up to 03 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Challenges on Design and Technology Co-Optimization: Design Automation Perspective2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS57524.2023.10405868(212-216)Online publication date: 6-Aug-2023
    • (2023)Fast Refinement on Placement Legalization for Designs with Mixed-Height Cells2023 20th International SoC Design Conference (ISOCC)10.1109/ISOCC59558.2023.10396066(345-346)Online publication date: 25-Oct-2023

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media