Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nm

Published: 12 February 2024 Publication History

Abstract

A new area model for estimating the layout area of switch blocks is introduced in this work. The model is based on a realistic layout strategy. As a result, it not only takes into consideration the active area that is needed to construct a switch block but also the number of metal layers available and the actual dimensions of these metals. The model assigns metal layers to the routing tracks in a way that reduces the number of vias that are needed to connect different routing tracks together while maintaining the tile-based structure of FPGAs. It also takes into account the wiring area required for buffer insertion for long wire segments. The model is evaluated based on the layouts constructed in the ASAP7 FinFET 7nm Predictive Design Kit. We found that the new model, while specific to the layout strategy that it employs, improves upon the traditional active-based area estimation models by considering the growth of the metal area independently from the growth of the active area. As a result, the new model is able to more accurately estimate the layout area by predicting when the metal area will overtake the active area as the number of routing tracks is increased. This ability allows the more accurate estimation of the true layout cost of FPGA fabrics at the early floor planning and architectural exploration stage; and this increase in accuracy can encourage a wider use of custom FPGA fabrics that target specific sets of benchmarks in future SOC designs. Furthermore, our data indicate that the conclusions drawn from several significant prior architectural studies remain to be correct under FinFET geometries and wiring area considerations despite their exclusive use of active-only area models. This correctness is due to the small channel widths, around 30–60 tracks per channel, of the architectures that these studies investigate. For architectures that approach the channel width of modern commercial FPGAs with more than 100–200 tracks per channel, our data show that wiring area models justified by detailed layout considerations are an essential addition to active area models in the correct prediction of the implementation area of FPGAs.

References

[1]
Vaughn Betz and Jonathan Rose. 1998. How much logic should go in an FPGA logic block. IEEE Design & Test of Computers 15, 1 (January-March 1998), 10–15.
[2]
Vaughn Betz and Jonathan Rose. 1999. FPGA routing architecture: Segmentation and buffering to optimize speed and density. In Proceedings of the 1999 ACM/SIGDA Seventh Interntional Symposium on Field Programmble Gate Arrays (FPGA’99). ACM, 59–68. DOI:
[3]
Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose. 1999. Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density. In Proceedings of the 1999 ACM/SIGDA 7th International Symposium on Field Programmable Gate Arrays (FPGA ’99). ACM, 37–46. DOI:
[4]
Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose. 2000. Speed and area tradeoffs in cluster-based FPGA architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 8, 1 (February 2000), 84–93. DOI:
[5]
Guy Lemieux and David Lewis. 2001. Using sparse crossbars within LUT clusters. In Proceedings of the 2001 ACM/SIGDA 9th International Symposium on Field Programmable Gate Arrays (FPGA ’01). ACM, 50–68. DOI:
[6]
Guy Lemieux, Edmund Lee, Marvin Tom, and Anthony Yu. 2004. Directional and single-driver wires in FPGA interconnect. In Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology (FPT ’04). IEEE, 41–48. DOI:
[7]
Phoebe Ping Chen and Andy Ye. 2011. The effect of multi-bit correlation on the design of field-programmable gate array routing resources. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19, 2 (February 2011), 283–294. DOI:
[8]
Grace Zgheib, Liqun Yang, Zhihong Huang, David Novo, Hadi Parandeh-Afshar, Haigang Yang, and Paolo Ienne. 2014. Revisiting and-inverter cones. In Proceedings of the 2014 ACM/SIGDA Interntional Symposium on Field Programmable Gate Arrays (FPGA ’14). ACM, 45–54. DOI:
[9]
Farheen Fatima Khan and Andy Ye. 2017. A study on the accuracy of minimum width transistor area in estimating FPGA layout area. Microprocessors and Microsystems 52 (July 2017), 287–298. DOI:
[10]
Avaneendra Gupta and John P. Hayes. 1998. Optimal 2-D cell layout with integrated transistor folding. In Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design (ICCAD ’98). IEEE, 128–135. DOI:
[11]
Ketan Padalia, Ryan Fung, Mark Bourgeault, Aaron Egier, and Jonathan Rose. 2003. Automatic transistor and physical design of FPGA tiles from an architectural specification. In Proceedings of the 2003 ACM/SIGDA 11th International Symposium on Field Programmable Gate Arrays (FPGA ’03). ACM, 164–172. DOI:
[12]
Elias Ahmed and Jonathan Rose. 2004. The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Transactions on Very Large Scale Integrtion (VLSI) Systems 12, 3 (March 2004), 288–298. DOI:
[13]
Ian Kuon and Jonathan Rose. 2008. Automated transistor sizing for FPGA architecture exploration. In Proceedings of the 45th Design Automation Conference (DAC ’08), ACM, 792–795. DOI:
[14]
V. Betz, J. Rose, and A. Marquardt. 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Norwell, MA.
[15]
Charles Chiasson and Vaughn Betz. 2013. COFFE: Fully-automated transistor sizing for FPGAs. In Proceedings of the 2013 International Conference on Field-Programmable Technology (FPT ’13). IEEE, 34–41. DOI:
[16]
Jason Luu, Jeffrey Goeders, Michael Wainberg, Andrew Somerville, Thien Yu, Konstantin Nasartschuk, Miad Nasr, Sen Wang, Tim Liu, Nooruddin Ahmed, Kenneth B. Kent, Jason Anderson, Jonathan Rose, and Vaughn Betz. 2014. VTR 7.0: Next generation architecture and CAD systems for FPGAs. ACM Transactions on Reconfigurable Technology and Systems 7, 2 (July 2014), 1–30. DOI:
[17]
Sajjad Rostami Sani, Farheen Fatima Khan, Anas Razzaq, and Andy Ye, 2020, Measuring the accuracy of layout area estimation models of tile-based FPGAs in FinFET technology. In Proceedings of the 2020 30th International Conference on Field-Programmable Logic and Applications (FPL’20). IEEE, 214–219. DOI:
[18]
Sajjad Rostami-Sani, Anas Razzaq, and Andy Ye. 2022. Evaluating the impact of using multiple-metal layers on the layout area of switch blocks for tile-based FPGAs in FinFET 7nm. In Proceedings of the 2022 IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM ’22). IEEE, 1–9. DOI:
[19]
Lawrence T. Clark, Vinay Vashishtha, Lucian Shifren, Aditya Gujja, Saurabh Sinha, Brian Cline, Chandarasekaran Ramamurthy, and Greg Yeric. 2016. ASAP7: A 7-nm finFET predictive process design kit. Microelectronics Journal 53 (July 2016), 105–115. DOI:
[20]
Neil Weste and David Harris. 2010. CMOS VLSI Design: A Circuits and Systems Perspective (4th ed.) Pearson Education, Boston, MA.
[21]
Farheen Fatima Khan and Andy Ye. 2018. An evaluation on the accuracy of the minimum-width transistor area models in ranking the layout area of FPGA architectures. ACM Transactions on Reconfigurable Technology and Systems 11, 1 (March 2018), 1–23. DOI:
[22]
Stefan Nikolić, Francky Catthoor, Zsolt Tőkei, and Paolo Ienne. 2021. Global is the new local: FPGA architecture at 5 nm and beyond. In Proceedings of the 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA ’21), ACM, 34–44. DOI:
[23]
Mousa Al-Qawasmi and Andy Ye. 2020. An investigation of the accuracy of the VPR and COFFE area models in predicting the layout area of FPGA lookup tables. In Proceedings of the 2020 IEEE SoutheastCon, IEEE, 1–9. DOI:
[24]
Xilinx Inc. 2002 Online Databook. 2002. Retrieved from https://inst.eecs.berkeley.edu/˜cs150/fa02/docs/x4000.pdf. Accessed January 13, 2024.
[25]
Guy Lemieux and David Lewis. 2002. Circuit design of routing switches. In Proceedings of the 2002 ACM/SIGDA 10th International Symposium on Field-Programmable Gate Arrays (FPGA ’02). ACM, 19–28. DOI:
[26]
Guy Lemieux and David Lewis. 2002. Analytical framework for switch block design. In Proceedings of the 2002 International Conference on Field Programmable Logic and Applications (FPL’02). Springer, 122–131. DOI:
[27]
Herman Schmit and Vikas Chandra. 2002. FPGA switch block layout and evaluation. In Proceedings of the 2002 ACM/SIGDA 10th International Symposium on Field-Programmable Gate Arrays (FPGA ’02). ACM, 11–18. DOI:
[28]
Mingjie Lin, John Wawrzynek, and Abbas El Gamal. 2010. Exploring FPGA routing architecture stochastically. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 29, 10 (October 2010), 1509–1522. DOI:
[29]
Vaughn Betz and Jonathan Rose. 1998. Effect of the prefabricated routing track distribution on FPGA area-efficiency. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 6, 3 (September 1998), 445–456. DOI:
[30]
E. Lee, G. Lemieux, and S. Mirabbasi. 2008. Interconnect driver design for long wires in field-programmable gate arrays. Journal of Signal Processing Systems 51, 1 (April 2008), 57–76. DOI:
[31]
Xilinx Inc. 2016. 7 Series FPGAs Configurable Logic Block User Guide (UG474). Retrieved from https://docs.xilinx.com/v/u/en-US/ug474_7Series_CLB. Accessed January 13, 2024.
[32]
Morten B. Petersen, Stefan Nikolić, and Mirjana Stojilović. 2021. NetCracker: A peek into the routing architecture of Xilinx 7-Series FPGAs. In Proceedings of the 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’21). ACM, 11–22. DOI:
[33]
David Lewis, David Cashman, Mark Chan, Jeffery Chromczak, Gary Lai, Andy Lee, Tim Vanderhoek, and Haiming Yu. 2013. Architectural enhancements in Stratix V™. In Proceedings of the 2013 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’13). ACM, 147–156. DOI:

Index Terms

  1. Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nm

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Reconfigurable Technology and Systems
        ACM Transactions on Reconfigurable Technology and Systems  Volume 17, Issue 1
        March 2024
        446 pages
        EISSN:1936-7414
        DOI:10.1145/3613534
        • Editor:
        • Deming Chen
        Issue’s Table of Contents

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 12 February 2024
        Online AM: 02 January 2024
        Accepted: 12 December 2023
        Revised: 12 December 2023
        Received: 02 July 2023
        Published in TRETS Volume 17, Issue 1

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Tile-based FPGAs
        2. area estimation models
        3. FinFET layouts
        4. switch blocks
        5. routing tracks
        6. wire segment length
        7. buffer insertion

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 115
          Total Downloads
        • Downloads (Last 12 months)115
        • Downloads (Last 6 weeks)8
        Reflects downloads up to 10 Nov 2024

        Other Metrics

        Citations

        View Options

        Get Access

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Full Text

        View this article in Full Text.

        Full Text

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media