Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

REC: REtime Convolutional Layers to Fully Exploit Harvested Energy for ReRAM-based CNN Accelerators

Published: 11 September 2024 Publication History

Abstract

As the Internet of Things (IoTs) increasingly combines AI technology, it is a trend to deploy neural network algorithms at edges and make IoT devices more intelligent than ever. Moreover, energy-harvesting technology-based IoT devices have shown the advantages of green and low-carbon economy, convenient maintenance, and theoretically infinite lifetime, and so on. However, the harvested energy is often unstable, resulting in low performance due to the fact that a fixed load cannot sufficiently utilize the harvested energy. To address this problem, recent works focusing on ReRAM-based convolutional neural networks (CNN) accelerators under harvested energy have proposed hardware/software optimizations. However, those works have overlooked the mismatch between the power requirement of different CNN layers and the variation of harvested power.
Motivated by the above observation, this article proposes a novel strategy, called REC, that retimes convolutional layers of CNN inferences to improve the performance and energy efficiency of energy harvesting ReRAM-based accelerators. Specifically, at the offline stage, REC defines different power levels to fit the power requirements of different convolutional layers. At runtime, instead of sequentially executing the convolutional layers of an inference one by one, REC retimes the execution timeframe of different convolutional layers so as to accommodate different CNN layers to the changing power inputs. What is more, REC provides a parallel strategy to fully utilize very high power inputs. Moreover, a case study is presented to show that REC is effective to improve the real-time accomplishment of periodical critical inferences because REC provides an opportunity for critical inferences to preempt the process window with a high power supply. Our experimental results show that the proposed REC scheme achieves an average performance improvement of 6.1× (up to 16.5×) compared to the traditional strategy without the REC idea. The case study results show that the REC scheme can significantly improve the success rate of periodical critical inferences’ real-time accomplishment.

References

[1]
P. T. V. Bhuvaneswari, R. Balakumar, V. Vaidehi, and P. Balamuralidhar. 2009. Solar energy harvesting for wireless sensor networks. In Proceedings of the 2009 1st International Conference on Computational Intelligence, Communication Systems and Networks (CICSyN). 57–61.
[2]
Wei-Ming Chen, Yi-Ting Chen, Pi-Cheng Hsiu, and Tei-Wei Kuo. 2019. Multiversion concurrency control on intermittent systems. In Proceedings of the 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1–8.
[3]
Wei-Ming Chen, Tai-Sheng Cheng, Pi-Cheng Hsiu, and Tei-Wei Kuo. 2016. Value-based task scheduling for nonvolatile processor-based embedded devices. In Proceedings of the 2016 IEEE Real-Time Systems Symposium (RTSS). 247–256.
[4]
Wei-Ming Chen, Tei-Wei Kuo, and Pi-Cheng Hsiu. 2020. Enabling failure-resilient intermittent systems without runtime checkpointing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 12 (2020), 4399–4412.
[5]
Wei-Ming Chen, Tei-Wei Kuo, and Pi-Cheng Hsiu. 2021. Heterogeneity-aware multicore synchronization for intermittent systems. ACM Transactions on Embedded Computing Systems 20, 5s (2021), 1–22.
[6]
Yunji Chen, Tao Luo, Shaoli Liu, Shijin Zhang, Liqiang He, Jia Wang, Ling Li, Tianshi Chen, Zhiwei Xu, Ninghui Sun, and Olivier Temam. 2014. DaDianNao: A machine-learning supercomputer. In Proceedings of the International Symposium on Microarchitecture (Micro). 609–622.
[7]
Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang, Jishen Zhao, Yongpan Liu, Yu Wang, and Yuan Xie. 2016. PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. In Proceedings of the International Symposium on Computer Architecture (ISCA). 27–39.
[8]
Yufei Cui, Yu Mao, Ziquan Liu, Qiao Li, Antoni B. Chan, Xue Liu, Tei-Wei Kuo, and Chun Jason Xue. 2023. Variational nested dropout. IEEE Transactions on Pattern Analysis and Machine Intelligence 45, 8 (2023), 10519–10534.
[9]
Shefa A. Dawwd and Basil Sh Mahmood. 2009. A reconfigurable interconnected filter for face recognition based on convolution neural network. In Proceedings of the International Design and Test Workshop (IDT). IEEE, pp. 1–6.
[10]
Bradley Denby and Brandon Lucia. 2020. Orbital edge computing: Nanosatellite constellations as a new class of computer system. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). pp.939–954.
[11]
Mingyuan Gao, Ping Wang, Yifeng Wang, and Lingkan Yao. 2018. Self-powered zigbee wireless sensor nodes for railway condition monitoring. IEEE Transactions on Intelligent Transportation Systems 19, 3 (2018), 900–909.
[12]
Graham Gobieski, Brandon Lucia, and Nathan Beckmann. 2019. Intelligence beyond the edge: Inference on intermittent embedded systems. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). 199–213.
[13]
Brandon Lucia Graham Gobieski, Nathan Beckmann. 2018. Intermittent deep neural network inference. In Proceedings of the Conference on Systems and Machine Learning (SysML). 1–3.
[14]
R. Grezaud and J. Willemin. 2013. A self-starting fully integrated auto-adaptive converter for battery-less thermal energy harvesting. In Proceedings of the 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS). 1–4.
[15]
Song Han, Jeff Pool, John Tran, and William J. Dally. 2015. Learning both weights and connections for efficient neural networks. In Proceedings of the 28th International Conference on Neural Information Processing Systems - Volume 1 (NIPS’15). MIT, Cambridge, MA, USA, 1135–1143.
[16]
Muhammad Abdullah Hanif and Muhammad Shafique. 2022. A cross-layer approach towards developing efficient embedded deep learning systems. Microprocess. Microsyst. 88, C (February 2022). DOI:
[17]
Itay Hubara, Matthieu Courbariaux, Daniel Soudry, Ran El-Yaniv, and Yoshua Bengio. 2016. Binarized neural networks. In Proceedings of the 30th International Conference on Neural Information Processing Systems (NIPS’16). Curran Associates Inc., Red Hook, NY, USA, 4114–4122.
[18]
Kang Eun Jeon, James She, Jason Xue, Sang-Ha Kim, and Soochang Park. 2019. luXbeacon-a batteryless beacon for green IoT: Design, modeling, and field tests. IEEE Internet of Things Journal 6, 3 (2019), 5001–5012.
[19]
Yu Ji, Youyang Zhang, Xinfeng Xie, Shuangchen Li, Peiqi Wang, Xing Hu, Youhui Zhang, and Yuan Xie. 2019. FPSA: A full system stack solution for reconfigurable ReRAM-based NN accelerator architecture. In Proceedings of the 24th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). 733–747.
[20]
Honglan Jiang, Cong Liu, Leibo Liu, Fabrizio Lombardi, and Jie Han. 2017. A review, classification, and comparative evaluation of approximate arithmetic circuits. ACM Journal on Emerging Technologies in Computing Systems 13, 4 (2017), 34 pages.
[21]
Norman P. Jouppi, Cliff Young, Nishant Patil, David Patterson, Gaurav Agrawal, Raminder Bajwa, Sarah Bates, Suresh Bhatia, Nan Boden, Al Borchers, Rick Boyle, Pierre-luc Cantin, Clifford Chao, Chris Clark, Jeremy Coriell, Mike Daley, Matt Dau, Jeffrey Dean, Ben Gelb, Tara Vazir Ghaemmaghami, Rajendra Gottipati, William Gulland, Robert Hagmann, C. Richard Ho, Doug Hogberg, John Hu, Robert Hundt, Dan Hurt, Julian Ibarz, Aaron Jaffey, Alek Jaworski, Alexander Kaplan, Harshit Khaitan, Daniel Killebrew, Andy Koch, Naveen Kumar, Steve Lacy, James Laudon, James Law, Diemthu Le, Chris Leary, Zhuyuan Liu, Kyle Lucke, Alan Lundin, Gordon MacKean, Adriana Maggiore, Maire Mahony, Kieran Miller, Rahul Nagarajan, Ravi Narayanaswami, Ray Ni, Kathy Nix, Thomas Norrie, Mark Omernick, Narayana Penukonda, Andy Phelps, Jonathan Ross, Matt Ross, Amir Salek, Emad Samadiani, Chris Severn, Gregory Sizikov, Matthew Snelham, Jed Souter, Dan Steinberg, Andy Swing, Mercedes Tan, Gregory Thorson, Bo Tian, Horia Toma, Erick Tuttle, Vijay Vasudevan, Richard Walter, Walter Wang, Eric Wilcox, and Doe Hyun Yoon. 2017. In-datacenter performance analysis of a tensor processing unit. In Proceedings of the 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA). 1–12.
[22]
Yann LeCun, Léon Bottou, Yoshua Bengio, and Patrick Haffner. 1998. Gradient-based learning applied to document recognition. Proceedings of the IEEE 86, 11 (1998), 2278–2324.
[23]
Vladimir Leonov, Tom Torfs, Paolo Fiorini, and Chris Van Hoof. 2007. Thermoelectric converters of human warmth for self-powered wireless sensor nodes. IEEE Sensors Journal 7, 5 (2007), 650–657. DOI:
[24]
V. Leonov, T. Torfs, R. J. M. Vullers, J. Su, and C. Van Hoof. 2010. Renewable energy microsystems integrated in maintenance-free wearable and textile-based devices: The capabilities and challenges. In Proceedings of the 2010 IEEE International Conference on Industrial Technology (ICIT). 967–972.
[25]
B. Li, P. Gu, Y. Shan, Y. Wang, Y. Chen, and H. Yang. 2015. RRAM-Based analog approximate computing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 12 (2015), 1905–1917.
[26]
B. Li, Lixue Xia, Peng Gu, Y. Wang, and Huazhong Yang. 2015. MErging the interface: Power, area and accuracy co-optimization for RRAM Crossbar-based Mixed-Signal Computing System. In Proceedings of the Design Automation Conference (DAC). 1–6.
[27]
H. H. Li, Y. Chen, C. Liu, J. P. Strachan, and N. Davila. 2017. Looking ahead for resistive memory technology: A broad perspective on ReRAM technology for future storage and computing. IEEE Consumer Electronics Magazine 6, 1 (2017), 94–103.
[28]
X. Li, U. Dennis Heo, K. Ma, V. Narayanan, H. Liu, and S. Datta. 2014. RF-powered systems using steep-slope devices. In Proceedings of the 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS). 73–76.
[29]
Hsien-I Lin, Ming-Hsiang Hsu, and Wei-Kai Chen. 2014. Human hand gesture recognition using a convolution neural network. In Proceedings of the 2014 IEEE International Conference on Automation Science and Engineering (CASE). IEEE, 1038–1043.
[30]
Lei Liu, Shengjie Yang, Lu Peng, and Xinyu Li. 2019. Hierarchical hybrid memory management in OS for tiered memory systems. IEEE Transactions on Parallel and Distributed Systems 30, 10 (2019), 2223–2236.
[31]
E. László, P. Szolgay, and Z. Nagy. 2012. Analysis of A GPU based CNN implementation. In Proceedings of the13th International Workshop on Cellular Nanoscale Networks and their Applications (CNNA). 1–5.
[32]
K. Ma, J. Li, X. Li, Y. Liu, Y. Xie, M. Kandemir, J. Sampson, and V. Narayanan. 2018. IAA: Incidental approximate architectures for extremely energy-constrained energy harvesting scenarios using IoT nonvolatile processors. IEEE International Symposium on Microarchitecture 38, 4 (2018), 11–19.
[33]
K. Ma, X. Li, J. Li, Y. Liu, Y. Xie, J. Sampson, M. T. Kandemir, and V. Narayanan. 2017. Incidental computing on IoT nonvolatile processors. In Proceedings of the International Symposium on Microarchitecture (MICRO). 204–218.
[34]
K. Ma, X. Li, Y. Liu, J. Sampson, Y. Xie, and V. Narayanan. 2015. Dynamic machine learning based matching of nonvolatile processor microarchitecture to harvested energy profile. In Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 670–675.
[35]
K. Ma, X. Li, S. R. Srinivasa, Y. Liu, J. Sampson, Y. Xie, and V. Narayanan. 2017. Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors. In Proceedings of the 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC). 678–683.
[36]
K. Ma, Y. Zheng, S. Li, K. Swaminathan, X. Li, Y. Liu, J. Sampson, Y. Xie, and V. Narayanan. 2015. Architecture exploration for ambient energy harvesting nonvolatile processors. In Proceedings of the 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 526–537.
[37]
Kiwan Maeng, Alexei Colin, and Brandon Lucia. 2017. Alpaca: intermittent execution without checkpoints. Proc. ACM Program. Lang. 1, OOPSLA (October 2017). DOI:
[38]
Amjad Yousef Majid, Carlo Delle Donne, Kiwan Maeng, Alexei Colin, Kasim Sinan Yildirim, Brandon Lucia, and Przemysław Pawełczak. 2020. Dynamic task-based intermittent execution for energy-harvesting devices. ACM Transactions on Sensor Networks 16, 1, Article 5 (2020), 24 pages.
[39]
M. Mangrulkar and S. G. Akojwar. 2016. A simple and efficient solar energy harvesting for wireless sensor node. In Proceedings of the 2016 Second International Conference on Research in Computational Intelligence and Communication Networks (ICRCICN). 95–99.
[40]
Mayue Shi, Eric M. Yeatman, and Andrew S. Holmes. 2019. Energy harvesting piezoelectric wind speed sensor. Journal of Physics: Conference Series 1407, 1 (November 2019), 012044. DOI:
[41]
Saman Naderiparizi, Aaron N. Parks, Zerina Kapetanovic, Benjamin Ransford, and Joshua R. Smith. 2015. WISPCam: A battery-free RFID camera. In Proceedings of the 2015 IEEE International Conference on RFID (RFID). IEEE, 166–173.
[42]
Leibin Ni, Zichuan Liu, Hao Yu, and Rajiv V. Joshi. 2017. An energy-efficient digital ReRAM-crossbar-based CNN with bitwise parallelism. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 3, May (2017), 37–46. DOI:
[43]
Ximing Qiao, Xiong Cao, Huanrui Yang, Linghao Song, and Hai Li. 2018. AtomLayer: A universal ReRAM-based CNN accelerator with atomic layer computation. In Proceedings of the 55th Annual Design Automation Conference (DAC). 1–6.
[44]
Jiantao Qiu, Jie Wang, Song Yao, Kaiyuan Guo, Boxun Li, Erjin Zhou, Jincheng Yu, Tianqi Tang, Ningyi Xu, Sen Song, Yu Wang, and Huazhong Yang. 2016. Going deeper with embedded FPGA platform for convolutional neural network. In Proceedings of the 2016 ACM/SIGDA International Symposium on Field-programmable Gate Arrays (FPGA). 26–35.
[45]
K. Qiu, N. Jao, M. Zhao, C. S. Mishra, G. Gudukbay, S. Jose, J. Sampson, M. T. Kandemir, and V. Narayanan. 2020. ResiRCA: A resilient energy harvesting reram crossbar-based accelerator for intelligent embedded processors. In Proceedings of the 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). 315–327.
[46]
Keni Qiu, Nicholas Jao, Kunyu Zhou, Yongpan Liu, Jack Sampson, Mahmut Taylan Kandemir, and Vijaykrishnan Narayanan. 2021. MaxTracker: Continuously tracking the maximum computation progress for energy harvesting ReRAM-based CNN accelerators. ACM Transactions on Embedded Computing Systems 20, 5s (2021), 1–23.
[47]
Mohammad Rastegari, Vicente Ordonez, Joseph Redmon, and Ali Farhadi. 2016. XNOR-net: ImageNet classification using binary convolutional neural networks. In Proceedings of the Computer Vision – ECCV 2016. Springer International Publishing, Cham, 525–542.
[48]
Salonik Resch, S. Karen Khatamifard, Zamshed I. Chowdhury, Masoud Zabihi, Zhengyang Zhao, Husrev Cilasun, Jian-Ping Wang, Sachin S. Sapatnekar, and Ulya R. Karpuzcu. 2020. MOUSE: Inference in non-volatile memory for energy harvesting applications. In Proceedings of the 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 400–414.
[49]
Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, R. Stanley Williams, and Vivek Srikumar. 2016. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. In Proceedings of the 43rd International Symposium on Computer Architecture (ISCA). 14–26.
[50]
Zhaoyan Shen, Jinhao Wu, Xikun Jiang, Yuhao Zhang, Lei Ju, and Zhiping Jia. 2023. PRAP-PIM: A weight pattern reusing aware pruning method for ReRAM-based PIM DNN accelerators. High-Confidence Computing 3, 2 (2023), 100123.
[51]
X. Sheng, C. Wang, Y. Liu, H. G. Lee, N. Chang, and H. Yang. 2014. A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes. In Proceedings of the 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA). 1–2.
[52]
Ryo Shigeta, Tatsuya Sasaki, Duong Minh Quan, Yoshihiro Kawahara, Rushi J. Vyas, Manos M. Tentzeris, and Tohru Asami. 2013. Ambient RF energy harvesting sensor device with capacitor-leakage-aware duty cycle control. IEEE Sensors Journal 13, 8 (2013), 2973–2983.
[53]
Linghao Song, Yuze Chi, Licheng Guo, and Jason Cong. 2022. Serpens: A high bandwidth memory based accelerator for general-purpose sparse matrix-vector multiplication. In Proceedings of the 59th ACM/IEEE Design Automation Conference (DAC). 211–216.
[54]
Linghao Song, Xuehai Qian, Hai Li, and Yiran Chen. 2017. PipeLayer: A pipelined ReRAM-based accelerator for deep learning. In Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 541–552.
[55]
Linghao Song, Youwei Zhuo, Xuehai Qian, Hai Li, and Yiran Chen. 2018. GraphR: Accelerating graph processing using ReRAM. In Proceedings of the 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 531–543.
[56]
Ingo Stark. 2011. Converting body heat into reliable energy for powering physiological wireless sensors. In Proceedings of the 2nd Conference on Wireless Health (WH). 1–2.
[57]
F. Su, W. Chen, L. Xia, C. Lo, T. Tang, Z. Wang, K. Hsu, M. Cheng, J. Li, Y. Xie, Y. Wang, M. Chang, H. Yang, and Y. Liu. 2017. A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory. In Proceedings of the 2017 Symposium on VLSI Circuits (VLSIC). 260–261.
[58]
Naveen Suda, Vikas Chandra, Ganesh Dasika, Abinash Mohanty, Yufei Ma, Sarma Vrudhula, Jae-sun Seo, and Yu Cao. 2016. Throughput-optimized OpenCL-based FPGA accelerator for large-scale convolutional neural networks. In Proceedings of the 2016 ACM/SIGDA International Symposium on Field-programmable Gate Arrays (FPGA). 16–25.
[59]
Sujesha Sudevalayam and Purushottam Kulkarni. 2011. Energy harvesting sensor nodes: Survey and implications. IEEE Communications Surveys and Tutorials 13, 3 (2011), 443–461.
[60]
Jay Taneja, Jaein Jeong, and David Culler. 2008. Design, modeling, and capacity planning for micro-solar power sensor networks. In Proceedings of the 2008 International Conference on Information Processing in Sensor Networks (IPSN). IEEE, 407–418.
[61]
T. Tang, L. Xia, B. Li, R. Luo, Y. Chen, Y. Wang, and H. Yang. 2015. Spiking neural network with RRAM: Can we use it for real-world application?. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). 860–865.
[62]
Sandeep Krishna Thirumala, Arnab Raha, Hrishikesh Jayakumar, Kaisheng Ma, Vijaykrishnan Narayanan, Vijay Raghunathan, and Sumeet Kumar Gupta. 2018. Dual mode ferroelectric transistor based non-volatile flip-flops for intermittently-powered systems. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). 1–6.
[63]
David Barrie Thomas, Lee Howes, and Wayne Luk. 2009. A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation. In Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays (FPGA). 63–72.
[64]
Andreas Tobola, Heike Leutheuser, Markus Pollak, Peter Spies, Christian Hofmann, Christian Weigand, Bjoern M Eskofier, and Georg Fischer. 2018. Self-powered multiparameter health sensor. IEEE Journal of Biomedical and Health Informatics 22, 1 (2018), 15–22.
[65]
Theodoros D. Verykios, Domenico Balsamo, and Geoff V. Merrett. 2019. Selective policies for efficient state retention in transiently-powered embedded systems: Exploiting properties of NVM technologies. Sustainable Computing: Informatics and Systems 22, June (2019), 167–178. DOI:
[66]
Ruochen Wang and Zhe Xu. 2015. A pedestrian and vehicle rapid identification model based on convolutional neural network. In Proceedings of the 7th International Conference on Internet Multimedia Computing and Service (ICIMCS). 1–4.
[67]
Y. Wang, Huawei Li, and Xiaowei Li. 2016. Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices. In Proceedings of the IEEE International Conference On Computer Aided Design (ICCAD). 1–6.
[68]
Yizhi Wang, Jun Lin, and Zhongfeng Wang. 2018. An energy-efficient architecture for binary weight convolutional neural networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26, 2 (2018), 280–293.
[69]
C. Xia, J. Zhao, H. Cui, and X. Feng. 2018. Characterizing DNN models for edge-cloud computing. In Proceedings of the 2018 IEEE International Symposium on Workload Characterization (IISWC). 82–83.
[70]
Mimi Xie, Mengying Zhao, Chen Pan, Hehe Li, Yongpan Liu, Youtao Zhang, Chun Jason Xue, and Jingtong Hu. 2016. Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems. In Proceedings of the 11th IEEE/ACM/ifip International Conference on Hardware/software Codesign and System Synthesis. 1–10.
[71]
Chun Jason Xue, Guangyu Sun, Youtao Zhang, J. Joshua Yang, Yiran Chen, and Hai Li. 2011. Emerging non-volatile memories: Opportunities and challenges. In Proceedings of the 2011 9th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). 325–334.
[72]
Chen Zhang, Peng Li, Guangyu Sun, Yijin Guan, Bingjun Xiao, and Jason Cong. 2015. Optimizing FPGA-based accelerator design for deep convolutional neural networks. In Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA). 161–170.

Index Terms

  1. REC: REtime Convolutional Layers to Fully Exploit Harvested Energy for ReRAM-based CNN Accelerators

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Embedded Computing Systems
        ACM Transactions on Embedded Computing Systems  Volume 23, Issue 6
        November 2024
        393 pages
        EISSN:1558-3465
        DOI:10.1145/3613645
        Issue’s Table of Contents

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 11 September 2024
        Online AM: 15 March 2024
        Accepted: 28 February 2024
        Revised: 15 January 2024
        Received: 20 February 2023
        Published in TECS Volume 23, Issue 6

        Check for updates

        Author Tags

        1. Internet of things
        2. energy harvesting
        3. convolutional layers
        4. ReRAM-based accelerators

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 153
          Total Downloads
        • Downloads (Last 12 months)153
        • Downloads (Last 6 weeks)21
        Reflects downloads up to 12 Sep 2024

        Other Metrics

        Citations

        View Options

        Get Access

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Full Text

        View this article in Full Text.

        Full Text

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media