Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3670474.3685961acmconferencesArticle/Chapter ViewAbstractPublication PagesmlcadConference Proceedingsconference-collections
research-article
Open access

HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond

Published: 09 September 2024 Publication History

Abstract

Machine learning (ML) techniques have been applied to high-level synthesis (HLS) flows for quality-of-result (QoR) prediction and design space exploration (DSE). Nevertheless, the scarcity of accessible high-quality HLS datasets and the complexity of building such datasets present great challenges to FPGA and ML researchers. Existing datasets either cover only a subset of previously published benchmarks, provide no way to enumerate optimization design spaces, are limited to a specific vendor, or have no reproducible and extensible software for dataset construction. Many works also lack user-friendly ways to add more designs to existing datasets, limiting wider adoption and sustainability of such datasets.
In response to these challenges, we introduce HLSFactory, a comprehensive framework designed to facilitate the curation and generation of high-quality HLS design datasets. HLSFactory has three main stages: 1) a design space expansion stage to elaborate single HLS designs into large design spaces using various optimization directives across multiple vendor tools, 2) a design synthesis stage to execute HLS and FPGA tool flows concurrently across designs, and 3) a data aggregation stage for extracting standardized data into packaged datasets for ML usage. This tripartite architecture not only ensures broad coverage of data points via design space expansion but also supports interoperability with tools from multiple vendors. Users can contribute to each stage easily by submitting their own HLS designs or synthesis results via provided user APIs. The framework is also flexible, allowing extensions at every step via user APIs with custom frontends, synthesis tools, and scripts.
To demonstrate the framework functionality, we include an initial set of built-in base designs from PolyBench, MachSuite, Rosetta, CHStone, Kastner et al.'s Parallel Programming for FPGAs, and curated kernels from existing open-source HLS designs. We report the statistical analyses and design space visualizations to demonstrate the completed end-to-end compilation flow, and to highlight the effectiveness of our design space expansion beyond the initial base dataset, which greatly contributes to dataset diversity and coverage.
In addition to its evident application in ML, we showcase the versatility and multi-functionality of our framework through seven case studies:
I) Building an ML model for post-implementation QoR prediction
II) Using design space sampling in stage 1 to expand the design space covered from a small base set of HLS designs; III) Demonstrating the speedup from the fine-grained design parallelism backend; IV) Extending HLSFactory to target Intel's HLS flow across all stages; V) Adding and running new auxiliary designs using HLSFactory; VI) Integration of previously published HLS data in stage 3; VII) Using HLSFactory to perform HLS tool version regression benchmarking.
Code available at https://github.com/sharc-lab/HLSFactory.

References

[1]
[n.d.]. PolyBench. https://web.cse.ohio-state.edu/~pouchet.2/software/polybench/
[2]
UCLA VAST Lab [n.d.]. UCLA-VAST/AutoDSE. UCLA VAST Lab. https://github.com/UCLA-VAST/AutoDSE
[3]
Xilinx [n. d.]. Xilinx/Merlin-Compiler. Xilinx. https://github.com/Xilinx/merlin-compiler
[4]
AMD/Xilinx. 2021. Basic Examples for Vitis HLS. GitHub.
[5]
AMD/Xilinx. 2022. Vitis Accel Examples' Repository. GitHub.
[6]
Yunsheng Bai, Atefeh Sohrabizadeh, Zongyue Qin, Ziniu Hu, Yizhou Sun, and Jason Cong. 2023. Towards a Comprehensive Benchmark for High-Level Synthesis Targeted to FPGAs. In Thirty-Seventh Conference on Neural Information Processing Systems Datasets and Benchmarks Track.
[7]
Hanqiu Chen and Cong Hao. 2022. Mask-Net: A Hardware-Efficient Object Detection Network with Masked Region Proposals. In 2022 IEEE 33rd International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, Gothenburg, Sweden, 131--138. https://doi.org/10.1109/ASAP54787.2022.00030
[8]
Hanqiu Chen and Cong Hao. 2023. DGNN-booster: A Generic FPGA Accelerator Framework for Dynamic Graph Neural Network Inference. In 2023 IEEE 31st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). IEEE, Marina Del Rey, CA, USA, 195--201. https://doi.org/10.1109/FCCM57271.2023.00029
[9]
Vidya A. Chhabria, Yanqing Zhang, Haoxing Ren, Ben Keller, Brucek Khailany, and Sachin S. Sapatnekar. 2021. MAVIREC: ML-Aided Vectored IR-Drop Estimation and Classification. In 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[10]
Jason Cong, Muhuan Huang, Peichen Pan, Yuxin Wang, and Peng Zhang. [n. d.]. Source-to-Source Optimization for HLS. In FPGAs for Software Programmers, Dirk Koch, Frank Hannig, and Daniel Ziener (Eds.). Springer International Publishing, 137--163. https://doi.org/10.1007/978-3-319-26408-0_8
[11]
W.J. Conover. 1999. Practical Nonparametric Statistics (3rd ed ed.). Wiley, New York.
[12]
Steve Dai, Yuan Zhou, Hang Zhang, Ecenur Ustun, Evangeline FY Young, and Zhiru Zhang. 2018. Fast and accurate estimation of quality of results in high-level synthesis with machine learning. In 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). IEEE, 129--132.
[13]
Steve Dai, Yuan Zhou, Hang Zhang, Ecenur Ustun, Evangeline F.Y. Young, and Zhiru Zhang. 2018. Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning. In 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 129--132. https://doi.org/10.1109/FCCM.2018.00029
[14]
Lorenzo Ferretti, Jihye Kwon, Giovanni Ansaloni, Giuseppe Di Guglielmo, Luca Carloni, and Laura Pozzi. [n. d.]. DB4HLS: A Database of High-Level Synthesis Design Space Explorations. https://doi.org/10.48550/arXiv.2101.00587 arXiv:2101.00587 [cs]
[15]
Quentin Gautier, Alric Althoff, Pingfan Meng, and Ryan Kastner. 2016. Spector: An opencl fpga benchmark suite. In 2016 International Conference on Field-Programmable Technology (FPT). IEEE, 141--148.
[16]
Pingakshya Goswami, Masoud Shahshahani, and Dinesh Bhatia. [n. d.]. MLSBench: A Synthesizable Dataset of HLS Designs to Support ML Based Design Flows. In Proceedings of the 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (New York, NY, USA, 2020-02-24) (FPGA '20). Association for Computing Machinery, 312. https://doi.org/10.1145/3373087.3375378
[17]
Winston Haaswijk, Edo Collins, Benoit Seguin, Mathias Soeken, Frédéric Kaplan, Sabine Süsstrunk, and Giovanni De Micheli. 2018. Deep Learning for Logic Optimization Algorithms. In 2018 IEEE International Symposium on Circuits and Systems (ISCAS).
[18]
Yuko Hara, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada, and Katsuya Ishii. [n. d.]. CHStone: A Benchmark Program Suite for Practical C-based High-Level Synthesis. In 2008 IEEE International Symposium on Circuits and Systems (ISCAS) (2008-05). 1192--1195. https://doi.org/10.1109/ISCAS.2008.4541637
[19]
Ryan Kastner, Janarbek Matai, and Stephen Neuendorffer. 2018. Parallel Programming for FPGAs. https://doi.org/10.48550/arXiv.1805.03648 arXiv:arXiv:1805.03648
[20]
Ryan Gary Kim, Janardhan Rao Doppa, and Partha Pratim Pande. 2018. Machine Learning for Design Space Exploration and Optimization of Manycore Systems. In 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1--6.
[21]
Zhe Lin, Zike Yuan, Jieru Zhao, Wei Zhang, Hui Wang, and Yonghong Tian. 2022. PowerGear: Early-Stage Power Estimation in FPGA HLS via Heterogeneous Edge-Centric GNNs. In Design, Automation & Test in Europe Conference & Exhibition (DATE). https://doi.org/10.23919/DATE54114.2022.9774682
[22]
Zhe Lin, Jieru Zhao, Sharad Sinha, and Wei Zhang. 2020. HL-Pow: A Learning-Based Power Modeling Framework for High-Level Synthesis. In 25th Asia and South Pacific Design Automation Conference (ASP-DAC). https://doi.org/10.1109/ASP-DAC47756.2020.9045442
[23]
Dong Liu and Benjamin Carrion Schafer. 2016. Efficient and reliable High-Level Synthesis Design Space Explorer for FPGAs. In 2016 26th International Conference on Field Programmable Logic and Applications (FPL).
[24]
Yixuan Luo, Cheng Tan, Nicolas Bohm Agostini, Ang Li, Antonino Tumeo, Nirav Dave, and Tong Geng. 2023. ML-CGRA: An Integrated Compilation Framework to Enable Efficient Machine Learning Acceleration on CGRAs. In 2023 60th ACM/IEEE Design Automation Conference (DAC).
[25]
Hosein Mohammadi Makrani, Farnoud Farahmand, Hossein Sayadi, Sara Bondi, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, and Setareh Rafatirad. 2019. Pyramid: Machine Learning Framework to Estimate the Optimal Timing and Resource Usage of a High-Level Synthesis Design. In 2019 29th International Conference on Field Programmable Logic and Applications (FPL).
[26]
Brandon Reagen, Robert Adolf, Yakun Sophia Shao, Gu-Yeon Wei, and David Brooks. [n. d.]. MachSuite: Benchmarks for Accelerator Design and Customized Architectures. In 2014 IEEE International Symposium on Workload Characterization (IISWC) (2014-10). 110--119. https://doi.org/10.1109/IISWC.2014.6983050
[27]
Rishov Sarkar, Stefan Abi-Karam, Yuqi He, Lakshmi Sathidevi, and Cong Hao. 2023. FlowGNN: A Dataflow Architecture for Real-Time Workload-Agnostic Graph Neural Network Inference. In 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, Montreal, QC, Canada, 1099--1112. https://doi.org/10.1109/HPCA56546.2023.10071015
[28]
Rishov Sarkar and Cong Hao. 2023. LightningSim: Fast and Accurate Trace-Based Simulation for High-Level Synthesis. In 2023 IEEE 31st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). IEEE, Marina Del Rey, CA, USA, 1--11. https://doi.org/10.1109/FCCM57271.2023.00010
[29]
Rishov Sarkar, Hanxue Liang, Zhiwen Fan, Zhangyang Wang, and Cong Hao. 2023. Edge-MoE: Memory-Efficient Multi-Task Vision Transformer Architecture with Task-Level Sparsity via Mixture-of-Experts. In 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD). IEEE, San Francisco, CA, USA, 01--09. https://doi.org/10.1109/ICCAD57390.2023.10323651
[30]
Gagandeep Singha, Dionysios Diamantopoulosb, Juan Gómez-Lunaa, Sander Stuijkc, Henk Corporaalc, and Onur Mutlu. 2022. LEAPER: Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning. In IEEE 40th International Conference on Computer Design (ICCD). https://doi.org/10.1109/ICCD56317.2022.00080
[31]
Atefeh Sohrabizadeh, Cody Hao Yu, Min Gao, and Jason Cong. [n. d.]. AutoDSE: Enabling Software Programmers to Design Efficient FPGA Accelerators. https://doi.org/10.48550/arXiv.2009.14381 arXiv:2009.14381 [cs]
[32]
Yingfan Wang, Haiyang Huang, Cynthia Rudin, and Yaron Shaposhnik. 2021. Understanding How Dimension Reduction Tools Work: An Empirical Approach to Deciphering t-SNE, UMAP, TriMap, and PaCMAP for Data Visualization. Journal of Machine Learning Research 22, 201 (2021), 1--73. http://jmlr.org/papers/v22/20-1061.html
[33]
Zhigang Wei, Aman Arora, Ruihao Li, and Lizy John. [n. d.]. HLSDataset: Open-Source DatasetforML-assistedFPGADesignUsingHighLevelSynthesis.In2023IEEE34th International Conference on Application-specific Systems, Architectures and Processors (ASAP) (Porto, Portugal, 2023--07). IEEE, 197--204. https://doi.org/10.1109/ASAP57973.2023.00040
[34]
Clifford Wolf and Johann Glaser. 2013. Yosys - a Free Verilog Synthesis Suite. In Proceedings of the 21st Austrian Workshop on Microelectronics (Austrochip). Linz, Austria.
[35]
Nan Wu, Yuan Xie, and Cong Hao. 2021. Ironman: Gnn-assisted design space exploration in high-level synthesis via reinforcement learning. In Proceedings of the 2021 on Great Lakes Symposium on VLSI. 39--44.
[36]
Nan Wu, Yuan Xie, and Cong Hao. 2022. IRONMAN-PRO: Multiobjective design space exploration in HLS via reinforcement learning and graph neural network-based modeling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42, 3 (2022), 900--913.
[37]
Xiaofan Zhang, Haoming Lu, Cong Hao, Jiachen Li, Bowen Cheng, Yuhong Li, Kyle Rupnow, Jinjun Xiong, Thomas Huang, Honghui Shi, Wen-Mei Hwu, and Deming Chen. 2020. SkyNet: A Hardware-Efficient Method for Object Detection and Tracking on Embedded Systems. Proceedings of Machine Learning and Systems 2 (March 2020), 216--229.
[38]
Yuan Zhou, Udit Gupta, Steve Dai, Ritchie Zhao, Nitish Srivastava, Hanchen Jin, Joseph Featherston, Yi-Hsiang Lai, Gai Liu, Gustavo Angarita Velasquez, Wenping Wang, and Zhiru Zhang. [n. d.]. Rosetta: A Realistic High-Level Synthesis Benchmark Suite for Software Programmable FPGAs. In Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (New York, NY, USA, 2018-02-15) (FPGA '18). Association for Computing Machinery, 269--278. https://doi.org/10.1145/3174243.3174255

Index Terms

  1. HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MLCAD '24: Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD
    September 2024
    321 pages
    ISBN:9798400706998
    DOI:10.1145/3670474
    This work is licensed under a Creative Commons Attribution International 4.0 License.

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 09 September 2024

    Check for updates

    Badges

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Conference

    MLCAD '24
    Sponsor:

    Acceptance Rates

    MLCAD '24 Paper Acceptance Rate 35 of 83 submissions, 42%;
    Overall Acceptance Rate 35 of 83 submissions, 42%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 149
      Total Downloads
    • Downloads (Last 12 months)149
    • Downloads (Last 6 weeks)118
    Reflects downloads up to 09 Nov 2024

    Other Metrics

    Citations

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media