Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/383082.383086acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

L1 data cache decomposition for energy efficiency

Published: 06 August 2001 Publication History
First page of PDF

References

[1]
A. Agarwal, J. Hennessy, and M. Horowitz. Cache Performance of Operating System and Multiprogramming Workloads. ACM Transactions on Computer Systems, 6(4):393-431, November 1988.
[2]
A. Agarwal and S. Pudar. Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct-Mapped Caches. In Int'l Symposium on Computer Architecture, pages 179-190, May 1993.
[3]
M. Bekerman, A. Yoaz, F. Gabbay, S. Jourdan, M. Kalaev, and R. Ronen. Early Load Address Resolution Via Register Tracking. In Int'l Symposium on Computer Architecture, pages 306-315, June 2000.
[4]
B. Calder, D. Grunwald, and J. Emer. Predictive Sequential Associative Cache. In Int'l Symposium on High Performance Computer Architecture, pages 244-253, February 1996.
[5]
J. H. Chang, H. Chao, and K. So. Cache Design of a Sub-Micron CMOS System/370. In Int'l Symposium on Computer Architecture, pages 208-213, June 1987.
[6]
S. Cho, P. Yew, and G. Lee. Access Region Locality for High-Bandwidth Processor Memory System Design. In Int'l Symposium on Microarchitecture, pages 136-146, November 1999.
[7]
S. Cho, P. Yew, and G. Lee. Decoupling Local Variable Accesses in a Wide-Issue Superscalar Processor. In Int'l Symposium on Computer Architecture, pages 100-110, May 1999.
[8]
D. Ditzel and H. McLellan. Register Allocation for Free: The C Machine Stack Cache. In Architectural Support for Programming Languages and Operating Systems, pages 48-56, March 1982.
[9]
P. Gelsinger. Microprocessors for the New Millennium - Challenges, Opportunities and New Frontiers. In Int'l Solid-State Circuits Conference, February 2001.
[10]
A. Hasegawa et al. SH3: High Code Density, Low Power. IEEE Micro, pages 11-19, Dec 1995.
[11]
K. Inoue, T. Ishihara, and K. Murakami. Way-Predicting Set-Associative Cache for High Performance and Low Energy Consumption. In Int'l Symposium on Low-Power Electronics and Design, pages 273-275, 1999.
[12]
Intel Corporation. Mobile Power Guidelines 2000, Rev 1.0, 1998.
[13]
R. Kessler, R. Jooss, A. Lebeck, and M. Hill. Inexpensive Implementation of Set-Associativity. In Int'l Symposium on Computer Architecture, pages 131-140, June 1989.
[14]
H. Kim, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin. Multiple Access Caches: Energy Implications. In IEEE CS Annual Workshop on VLSI, pages 53-58, April 2000.
[15]
V. Krishnan and J. Torrellas. An Execution-Driven Framework for Fast and Accurate Simulation of Superscalar Processors. In Int'l Conference on Parallel Architectures and Compilation Techniques, pages 286-293, October 1998.
[16]
H. Lee, M. Smelyanskiy, C. Newburn, and G. Tyson. Stack Value File: Custom Microarchitecture for the Stack. In Int'l Symposium on High-Performance Computer Architecture, pages 5-14, January 2001.
[17]
H. Lee and G. Tyson. Region-Based Caching: An Energy-Delay Efficient Memory Architecture for Embedded Processors. In CASES 2000, November 2000.
[18]
S. Wilton and N. Jouppi. CACTI: An Enhanced Cache Access and Cycle Time Model. IEEE Journal on Solid-State Circuits, 31(5):677-688, May 1996.
[19]
K. C. Yeager. The MIPS R10000 Superscalar Microprocessor. IEEE Micro, 16(2):28-41, April 1996.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISLPED '01: Proceedings of the 2001 international symposium on Low power electronics and design
August 2001
393 pages
ISBN:1581133715
DOI:10.1145/383082
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 06 August 2001

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ISLPED01
Sponsor:

Acceptance Rates

ISLPED '01 Paper Acceptance Rate 73 of 194 submissions, 38%;
Overall Acceptance Rate 398 of 1,159 submissions, 34%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)13
  • Downloads (Last 6 weeks)4
Reflects downloads up to 01 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2019)TWiCeProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322232(385-396)Online publication date: 22-Jun-2019
  • (2018)TWiCeIEEE Computer Architecture Letters10.1109/LCA.2017.278767417:1(96-99)Online publication date: 1-Jan-2018
  • (2015)Subtleties of Run-Time VirtualAddress StacksIEEE Computer Architecture Letters10.1109/LCA.2014.233729914:2(152-155)Online publication date: 1-Jul-2015
  • (2014)Multicopy CacheACM Transactions on Embedded Computing Systems10.1145/263216213:5s(1-27)Online publication date: 23-Jul-2014
  • (2014)Exploring Dynamic Redundancy to Resuscitate Faulty PCM BlocksACM Journal on Emerging Technologies in Computing Systems10.1145/260215610:4(1-23)Online publication date: 2-Jun-2014
  • (2014)Design Options for Optical Ring Interconnect in Future Client DevicesACM Journal on Emerging Technologies in Computing Systems10.1145/260215510:4(1-25)Online publication date: 2-Jun-2014
  • (2013)Automatic parallelization of fine-grained metafunctions on a chip multiprocessorACM Transactions on Architecture and Code Optimization10.1145/2541228.254123710:4(1-26)Online publication date: 1-Dec-2013
  • (2013)Virtually split cacheACM Transactions on Architecture and Code Optimization10.1145/2541228.254123410:4(1-24)Online publication date: 1-Dec-2013
  • (2013)Exploring single and multilevel JIT compilation policy for modern machines 1ACM Transactions on Architecture and Code Optimization10.1145/2541228.254122910:4(1-29)Online publication date: 1-Dec-2013
  • (2013)A time-predictable stack cache16th IEEE International Symposium on Object/component/service-oriented Real-time distributed Computing (ISORC 2013)10.1109/ISORC.2013.6913225(1-8)Online publication date: Jun-2013
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media