Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/513918.514037acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

DRG-cache: a data retention gated-ground cache for low power

Published: 10 June 2002 Publication History
  • Get Citation Alerts
  • Abstract

    In this paper we propose a novel integrated circuit and architectural level technique to reduce leakage power consumption in high performance cache memories using single Vt (transistor threshold voltage) process. We utilize the concept of Gated-Ground [5] (NMOS transistor inserted between Ground line and SRAM cell) to achieve reduction in leakage energy without significantly affecting performance. Experimental results on gated-Ground caches show that data is retained (DRG-Cache) even if the memory are put in the stand-by mode of operation. Data is restored when the gated-Ground transistor is turned on. Turning off the gated-Ground transistor in turn gives large reduction in leakage power. This technique requires no extra circuitry; row decoder itself can be used to control the gated-Ground transistor. The technique is applicable to data and instruction caches as well as different levels of cache hierarchy such as the L1, L2, or L3 caches. We fabricated a test chip in TSMC 0.25m technology to show the data retention capability and the cell stability of DRG-cache. Our simulation results on 100nm and 70nm processes (Berkeley Predictive Technology Model) show 16.5% and 27% reduction in consumed energy in L1 cache and 50% and 47% reduction in L2 cache with less than 5% impact on execution time and within 4% increase in area overhead.

    References

    [1]
    J. Montanaro et. al. A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor. IEEE Journal of Solid-State Circuits, 31(11), 1703--1714, 1996.
    [2]
    V. De. Private communication.
    [3]
    S. Borkar. Design challenges of technology scaling. IEEE Micro, 19(4), 23--29, July 1999.
    [4]
    S. Manne, A. Klauser, and D. Grunwald. Pipline gating: Speculation control for energy reduction. In Proceeding of the 25th Annual Int. Symp. on Comp. Archi., 32--141, 1998
    [5]
    M. D. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar. Gated-Vdd: A circuit technique to reduce leakage in cache memories. In Proceedings of ISLPED, (July 2000), ACM Press, 90--95.
    [6]
    J. M. Rabaey. Digital Integrated Circuit. Prentice Hall, 1996.
    [7]
    I. Fukushi, R. Sasagawa, M. Hamaminato, T. Izawa, and S. Kawashima. A low-power SRAM using improved charge transfer sense. In Proceedings of the 1998 Int. Symp. on VLSI Circuits, pages 142--145, 1998.
    [8]
    L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De. Design and optimization of low voltage high performance dual threshold CMOS circuits. In Proceedings of the 35th Design Auto. Conf., pages 489--494, 1998.
    [9]
    F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S. Borkar, M. Stan, and V. De. Dual-Vt SRAM cells with full-swing single-ended bit line sensing for highperformance on-chip cache in 0.13um technology generation. In Proceedings of the 2000 Int. Symp. on Low Power Elect. and Design (ISLPED), July 2000.
    [10]
    Z. Chen, L. Wei, M. Johnson, K. Roy. Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of Transistor Stacks. Int. Symp. on Low Power Electronics and Design, 1998, pp.239--244.
    [11]
    http://www-device.eecs.berkeley.edu/~ptm/
    [12]
    N. Shibata, M. Watanabe and Y. Sato. A 2-V 300-MHz 1-Nb Current-Sensed Double-Density SRAM for Low-Power 0.3-¿m CMOS/SIMOX ASICs. IEEE Journal of Solid State Circuits, Vol. 36, No. 10, pages 1524--1537, Oct. 2001.
    [13]
    D. Burger and T. M. Austin. The SimpleScalar tool set, version 2.0. Technical Report 1342, Computer Sciences Department, University of Wisconsin-Madison, June 1997
    [14]
    T. Wada and S. Rajan. An Analytical Access Time Model for On-Chip cache Memories. IEEE Journal of Solid State Circuits, Vol. 27, No 8, pages 1147--1156,August 1992.
    [15]
    J. L Hennessy and D. A. Patterson. Computer Architecture A Quantitative Approach. Morgan Kaufmann, 2nd edition
    [16]
    Narendra S., et al. Scaling of Stack Effect and its Application for Leakage Reduction. in Proceeding of ISLPED'01 (Hiltington CA, Aug 2001), ACM Press, 194--200.

    Cited By

    View all
    • (2023)Dual Power Gating 8-Transistor SRAM Design For Low Power Applications2023 International Conference on System Science and Engineering (ICSSE)10.1109/ICSSE58758.2023.10227080(485-490)Online publication date: 27-Jul-2023
    • (2023)Post-Silicon Customization Using Deep Neural NetworksArchitecture of Computing Systems10.1007/978-3-031-42785-5_9(120-136)Online publication date: 26-Aug-2023
    • (2021)SRCP: sharing and reuse-aware replacement policy for the partitioned cache in multicore systemsDesign Automation for Embedded Systems10.1007/s10617-021-09251-z25:3(193-211)Online publication date: 1-Sep-2021
    • Show More Cited By

    Index Terms

    1. DRG-cache: a data retention gated-ground cache for low power

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        DAC '02: Proceedings of the 39th annual Design Automation Conference
        June 2002
        956 pages
        ISBN:1581134614
        DOI:10.1145/513918
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 10 June 2002

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. SRAM
        2. gated-ground
        3. low leakage cache

        Qualifiers

        • Article

        Conference

        DAC02
        Sponsor:
        DAC02: 39th Design Automation Conference
        June 10 - 14, 2002
        Louisiana, New Orleans, USA

        Acceptance Rates

        DAC '02 Paper Acceptance Rate 147 of 491 submissions, 30%;
        Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

        Upcoming Conference

        DAC '25
        62nd ACM/IEEE Design Automation Conference
        June 22 - 26, 2025
        San Francisco , CA , USA

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)18
        • Downloads (Last 6 weeks)1
        Reflects downloads up to

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Dual Power Gating 8-Transistor SRAM Design For Low Power Applications2023 International Conference on System Science and Engineering (ICSSE)10.1109/ICSSE58758.2023.10227080(485-490)Online publication date: 27-Jul-2023
        • (2023)Post-Silicon Customization Using Deep Neural NetworksArchitecture of Computing Systems10.1007/978-3-031-42785-5_9(120-136)Online publication date: 26-Aug-2023
        • (2021)SRCP: sharing and reuse-aware replacement policy for the partitioned cache in multicore systemsDesign Automation for Embedded Systems10.1007/s10617-021-09251-z25:3(193-211)Online publication date: 1-Sep-2021
        • (2020)Ternary compute-enabled memory using ferroelectric transistors for accelerating deep neural networksProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408360(31-36)Online publication date: 9-Mar-2020
        • (2020)Noise Voltage: A New Dependability Concern in Low-Power FinFET-Based Priority Encoder at 45 nm TechnologySmart Trends in Computing and Communications: Proceedings of SmartCom 202010.1007/978-981-15-5224-3_40(411-418)Online publication date: 18-Jul-2020
        • (2019)An Energy Efficient Multilevel Reconfigurable parallel Cache Architecture for Embedded Multicore Processors2019 International Conference on Electrical, Electronics and Computer Engineering (UPCON)10.1109/UPCON47278.2019.8980197(1-6)Online publication date: Nov-2019
        • (2019)Implementation and Analysis of 7T SRAM at Different Design Technologies2019 International Conference on Intelligent Sustainable Systems (ICISS)10.1109/ISS1.2019.8908072(412-417)Online publication date: Mar-2019
        • (2018)A double regulated footer and header voltage technique for ultra-low power IoT SRAM2018 IEEE 4th World Forum on Internet of Things (WF-IoT)10.1109/WF-IoT.2018.8355203(107-111)Online publication date: Mar-2018
        • (2017)SRAM Cell Leakage Control Techniques for Ultra Low Power Application: A SurveyCircuits and Systems10.4236/cs.2017.8200308:02(23-52)Online publication date: 2017
        • (2017)Monolayer Transistor SRAMsACM Journal on Emerging Technologies in Computing Systems10.1145/296761313:2(1-28)Online publication date: 2-Mar-2017
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media