Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/605397.605413acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
Article

Joint local and global hardware adaptations for energy

Published: 01 October 2002 Publication History
  • Get Citation Alerts
  • Abstract

    This work concerns algorithms to control energy-driven architecture adaptations for multimedia applications, without and with dynamic voltage scaling (DVS). We identify a broad design space for adaptation control algorithms based on two attributes: (1) when to adapt or temporal granularity and (2) what structures to adapt or spatial granularity. For each attribute, adaptation may be global or local. Our previous work developed a temporally and spatially global algorithm. It invokes adaptation at the granularity of a full frame of a multimedia application (temporally global) and considers the entire hardware configuration at a time (spatially global). It exploits inter-frame execution time variability, slowing computation just enough to eliminate idle time before the real-time deadline.This paper explores temporally and spatially local algorithms and their integration with the previous global algorithm. The local algorithms invoke architectural adaptation within an application frame to exploit intra-frame execution variability, and attempt to save energy without affecting execution time. We consider local algorithms previously studied for non-real-time applications as well as propose new algorithms. We find that, for systems without and with DVS, the local algorithms are effective in saving energy for multimedia applications, but the new integrated global and local algorithm is best for the systems and applications studied.

    References

    [1]
    S. V. Adve et al. The Illinois GRACE Project: Global Resource Adaptation through CoopEration. In the Workshop on Self-Healing, Adaptive, and self-MANaged Systems (SHAMAN), 2002.]]
    [2]
    D. H. Albonesi. Selective Cache Ways: On-Demand Cache Resource Allocation. In Proc. of the 32nd Annual Intl. Symp. on Microarchitecture, 1999.]]
    [3]
    R. I. Bahar and S. Manne. Power and Energy Reduction Via Pipeline Balancing. In Proc. of the 28th Annual Intl. Symp. on Comp. Architecture, 2001.]]
    [4]
    D. Brooks and M. Martonosi. Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. In Proc. of the 5th Intl. Symp. on High-Performance Comp. Architecture, 1999.]]
    [5]
    D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In Proc. of the 27th Annual Intl. Symp. on Comp. Architecture, 2000.]]
    [6]
    A. Buyuktosunoglu et al. An Adaptive Issue Queue for Reduced Power at High Performance. In Proc. of the Workshop on Power-Aware Computer Systems, 2000.]]
    [7]
    T. M. Conte et al. Challenges to Combining General-Purpose and Multimedia Processors. IEEE Computer, December 1997.]]
    [8]
    K. Diefendorff and P. K. Dubey. How Multimedia Workloads Will Change Processor Design. IEEE Computer, September 1997.]]
    [9]
    S. Dropsho et al. Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic Power. In Proc. of the Intl. Conf. on Parallel Architectures and Compilation Techniques, 2002.]]
    [10]
    B. Fields, S. Rubin, and R. Bodík. Focusing Processor Policies via Critical-Path Prediction. In Proc. of the 28th Annual Intl. Symp. on Comp. Architecture, 2001.]]
    [11]
    D. Folegnani and A. González. Energy-Efficient Issue Logic. In Proc. of the 28th Annual Intl. Symp. on Comp. Architecture, 2001.]]
    [12]
    S. Ghiasi, J. Casmira, and D. Grunwald. Using IPC Variation in Workloads with Externally Specified Rates to Reduce Power Consumption. In Proc. of the Workshop on Complexity-Effective Design, 2000.]]
    [13]
    K. Govil, E. Chan, and H. Wasserman. Comparing Algorithms for Dynamic Speed-Setting of a Low-Power CPU. In Proc. of the 1st Intl. Conf. on Mobile Computing and Networking, 1995.]]
    [14]
    T. R. Halfhill. Transmeta Breaks x86 Low-Power Barrier. Microprocessor Report, February 2000.]]
    [15]
    M. Huang, J. Renau, S.-M. Yoo, and J. Torrellas. A Framework for Dynamic Energy Efficiency and Temperature Management. In Proc. of the 33rd Annual Intl. Symp. on Microarchitecture, 2000.]]
    [16]
    M. C. Huang. Managing Processor Adaptation for Energy Reduction and Temperature Control. PhD thesis, University of Illinois at Urbana-Champaign, 2002.]]
    [17]
    C. J. Hughes et al. Variability in the Execution of Multimedia Applications and Implications for Architecture. In Proc. of the 28th Annual Intl. Symp. on Comp. Architecture, 2001.]]
    [18]
    C. J. Hughes, V. S. Pai, P. Ranganathan, and S. V. Adve. RSIM: Simulating Shared-Memory Multiprocessors with ILP Processors. IEEE Computer, February 2002.]]
    [19]
    C. J. Hughes, J. Srinivasan, and S. V. Adve. Saving Energy with Architectural and Frequency Adaptations for Multimedia Applications. In Proc. of the 34th Annual Intl. Symp. on Microarchitecture, 2001.]]
    [20]
    Intel XScale Microarchitecture. http://developer.intel.com/design/intelxscale/benchmarks.htm]]
    [21]
    C. E. Kozyrakis and D. Patterson. A New Direction for Computer Architecture Research. IEEE Computer, November 1998.]]
    [22]
    S. Manne, A. Klauser, and D. Grunwald. Pipeline Gating: Speculation Control for Energy Reduction. In Proc. of the 25th Annual Intl. Symp. on Comp. Architecture, 1998.]]
    [23]
    R. Maro, Y. Bai, and R. Bahar. Dynamically Reconfiguring Processor Resources to Reduce Power Consumption in High-Performance Processors. In Proc. of the Workshop on Power-Aware Computer Systems, 2000.]]
    [24]
    V. S. Pai, P. Ranganathan, S. V. Adve, and T. Harton. An Evaluation of Memory Consistency Models for Shared-Memory Systems with ILP Processors. In Proc. of the 7th Intl. Conf. on Architectural Support for Programming Languages and Operating Systems, 1996.]]
    [25]
    T. Pering, T. Burd, and R. Brodersen. Voltage Scheduling in the lpARM Microprocessor System. In Proc. of the Intl. Symp. on Low Power Electronics and Design, 2000.]]
    [26]
    D. Ponomarev, G. Kuck, and K. Ghose. Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources. In Proc. of the 34th Annual Intl. Symp. on Microarchitecture, 2001.]]
    [27]
    M. Weiser, B. Welch, A. Demers, and S. Shenker. Scheduling for Reduced CPU Energy. In Proc. of the 1st Symp. on Operating Systems Design and Implementation, 1994.]]

    Cited By

    View all
    • (2022)Cooperative Slack Management: Saving Energy of Multicore Processors by Trading Performance Slack Between QoS-Constrained ApplicationsACM Transactions on Architecture and Code Optimization10.1145/350555919:2(1-27)Online publication date: 31-Jan-2022
    • (2020)Coordinated Management of Processor Configuration and Cache Partitioning to Optimize Energy under QoS Constraints2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS47924.2020.00067(590-601)Online publication date: May-2020
    • (2019)PoDDProceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis10.1145/3295500.3356174(1-23)Online publication date: 17-Nov-2019
    • Show More Cited By
    1. Joint local and global hardware adaptations for energy

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ASPLOS X: Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
      October 2002
      318 pages
      ISBN:1581135742
      DOI:10.1145/605397
      • cover image ACM SIGOPS Operating Systems Review
        ACM SIGOPS Operating Systems Review  Volume 36, Issue 5
        December 2002
        296 pages
        ISSN:0163-5980
        DOI:10.1145/635508
        Issue’s Table of Contents
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 30, Issue 5
        Special Issue: Proceedings of the 10th annual conference on Architectural Support for Programming Languages and Operating Systems
        December 2002
        296 pages
        ISSN:0163-5964
        DOI:10.1145/635506
        Issue’s Table of Contents
      • cover image ACM SIGPLAN Notices
        ACM SIGPLAN Notices  Volume 37, Issue 10
        October 2002
        296 pages
        ISSN:0362-1340
        EISSN:1558-1160
        DOI:10.1145/605432
        Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 October 2002

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Article

      Conference

      ASPLOS02

      Acceptance Rates

      ASPLOS X Paper Acceptance Rate 24 of 175 submissions, 14%;
      Overall Acceptance Rate 535 of 2,713 submissions, 20%

      Upcoming Conference

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)3
      • Downloads (Last 6 weeks)1
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2022)Cooperative Slack Management: Saving Energy of Multicore Processors by Trading Performance Slack Between QoS-Constrained ApplicationsACM Transactions on Architecture and Code Optimization10.1145/350555919:2(1-27)Online publication date: 31-Jan-2022
      • (2020)Coordinated Management of Processor Configuration and Cache Partitioning to Optimize Energy under QoS Constraints2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS47924.2020.00067(590-601)Online publication date: May-2020
      • (2019)PoDDProceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis10.1145/3295500.3356174(1-23)Online publication date: 17-Nov-2019
      • (2016)Maximizing Performance Under a Power CapACM SIGARCH Computer Architecture News10.1145/2980024.287237544:2(545-559)Online publication date: 25-Mar-2016
      • (2016)Maximizing Performance Under a Power CapACM SIGPLAN Notices10.1145/2954679.287237551:4(545-559)Online publication date: 25-Mar-2016
      • (2014)Managing power constraints in a single-core scenario through power tokensThe Journal of Supercomputing10.1007/s11227-013-1044-268:1(414-442)Online publication date: 1-Apr-2014
      • (2012)Runtime architecture adaptation for energy management in embedded real-time systemsProceedings of the 2012 International Green Computing Conference (IGCC)10.1109/IGCC.2012.6322272(1-9)Online publication date: 4-Jun-2012
      • (2012)Efficient inter-core power and thermal balancing for multicore processorsComputing10.1007/s00607-012-0236-695:7(537-566)Online publication date: 15-Nov-2012
      • (2009)A hybrid local-global approach for multi-core thermal managementProceedings of the 2009 International Conference on Computer-Aided Design10.1145/1687399.1687459(314-320)Online publication date: 2-Nov-2009
      • (2009)System-scenario-based design of dynamic embedded systemsACM Transactions on Design Automation of Electronic Systems10.1145/1455229.145523214:1(1-45)Online publication date: 23-Jan-2009
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media