Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

A stateless, content-directed data prefetching mechanism

Published: 01 October 2002 Publication History
  • Get Citation Alerts
  • Abstract

    Although central processor speeds continues to improve, improvements in overall system performance are increasingly hampered by memory latency, especially for pointer-intensive applications. To counter this loss of performance, numerous data and instruction prefetch mechanisms have been proposed. Recently, several proposals have posited a memory-side prefetcher; typically, these prefetchers involve a distinct processor that executes a program slice that would effectively prefetch data needed by the primary program. Alternative designs embody large state tables that learn the miss reference behavior of the processor and attempt to prefetch likely misses.This paper proposes Content-Directed Data Prefetching, a data prefetching architecture that exploits the memory allocation used by operating systems and runtime systems to improve the performance of pointer-intensive applications constructed using modern language systems. This technique is modeled after conservative garbage collection, and prefetches "likely" virtual addresses observed in memory references. This prefetching mechanism uses the underlying data of the application, and provides an 11.3% speedup using no additional processor state. By adding less than ½% space overhead to the second level cache, performance can be further increased to 12.6% across a range of "real world" applications.

    References

    [1]
    H.-J. Boehm. Hardware and operating system support for conservative garbage collection. In International Workshop on Memory Management, pages 61-67, Palo Alto, California, October 1991. IEEE Press.
    [2]
    M. Charney and A. Reeves. Generalized correlation based hardware prefetching. Technical Report EE-CEG-95-1, Cornell University, February 1995.
    [3]
    T.-F. Chen and J.-L. Baer. Reducing memory latency via non-blocking and prefetching caches. In Proceedings of the 5th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 51-61, Boston, Massachusetts, October 1992. ACM.
    [4]
    J. Hennessey and D. Patterson. Computer Architecture: A Quantitative Approach. Second Edition. Morgan Kaufman Publishers, San Francisco, California, 1996.
    [5]
    D. Joseph and D. Grunwald. Prefetching using markov predictors. In Proceedings of the 24th Annual International Symposium on Computer Architecture, pages 252-263, Denver, Colorado, June 1997. ACM.
    [6]
    N. Jouppi. Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers. In Proceedings of the 17th Annual International Symposium on Computer Architecture, pages 388-397, 1990.
    [7]
    M. Lipasti, W. Schmidt, S. Kunkel, and R. Roediger. SPAID: Software prefetching in pointer and call intensive environments. In Proceedings of the 28th Annual International Symposium on Microarchitecture, pages 231-236, Ann Arbor, Michigan, November 1995. ACM.
    [8]
    C.-K. Luk and T. Mowry. Compiler-based prefetching for recursive data structures. In Proceedings of the 7th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 222-233, Cambridge, Massachusetts, October 1996. ACM.
    [9]
    T. Mowry, M. Lam, and A. Gupta. Design and evaluation of a compiler algorithm for prefetching. In Proceedings of the 5th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 62-73, Boston, Massachusetts, October 1992. ACM.
    [10]
    T. Ozawa, Y. Kimura, and S. Nishizaki. Cache miss heuristics an preloading techniques for general-purpose programs. In Proceedings of the 28th Annual International Symposium on Microarchitecture, pages 243-248, Ann Arbor, Michigan, November 1995. ACM.
    [11]
    S. Palacharla and R. Kessler. Evaluating stream buffers as a secondary cache replacement. In Proceedings of the 21st Annual International Symposium on Computer Architecture, pages 24-33, Chicago, Illinois, April 1994. ACM.
    [12]
    A. Roth, A. Moshovos, and G. Sohi. Dependence based prefetching for linked data structures. In Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 115-126, San Jose, California, October 1998. ACM.
    [13]
    C.-L. Yang and A. Lebeck. Push vs. pull: Data movement for linked data structures. In Proceedings of the 2000 International Conference on Supercomputing, pages 176-186, Santa Fe, New Mexico, May 2000. ACM.

    Cited By

    View all
    • (2024)Tyche: An Efficient and General Prefetcher for Indirect Memory AccessesACM Transactions on Architecture and Code Optimization10.1145/364185321:2(1-26)Online publication date: 22-Jan-2024
    • (2024)Constable: Improving Performance and Power Efficiency by Safely Eliminating Load Instruction Execution2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00017(88-102)Online publication date: 29-Jun-2024
    • (2023)Building Efficient Neural PrefetcherProceedings of the International Symposium on Memory Systems10.1145/3631882.3631903(1-12)Online publication date: 2-Oct-2023
    • Show More Cited By

    Index Terms

    1. A stateless, content-directed data prefetching mechanism
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM SIGPLAN Notices
        ACM SIGPLAN Notices  Volume 37, Issue 10
        October 2002
        296 pages
        ISSN:0362-1340
        EISSN:1558-1160
        DOI:10.1145/605432
        Issue’s Table of Contents
        • cover image ACM Conferences
          ASPLOS X: Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
          October 2002
          318 pages
          ISBN:1581135742
          DOI:10.1145/605397
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 01 October 2002
        Published in SIGPLAN Volume 37, Issue 10

        Check for updates

        Qualifiers

        • Article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)119
        • Downloads (Last 6 weeks)2
        Reflects downloads up to 10 Aug 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Tyche: An Efficient and General Prefetcher for Indirect Memory AccessesACM Transactions on Architecture and Code Optimization10.1145/364185321:2(1-26)Online publication date: 22-Jan-2024
        • (2024)Constable: Improving Performance and Power Efficiency by Safely Eliminating Load Instruction Execution2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00017(88-102)Online publication date: 29-Jun-2024
        • (2023)Building Efficient Neural PrefetcherProceedings of the International Symposium on Memory Systems10.1145/3631882.3631903(1-12)Online publication date: 2-Oct-2023
        • (2022)APT-GETProceedings of the Seventeenth European Conference on Computer Systems10.1145/3492321.3519583(747-764)Online publication date: 28-Mar-2022
        • (2021)GretchACM Transactions on Architecture and Code Optimization10.1145/343980318:2(1-25)Online publication date: 9-Feb-2021
        • (2016)Graph Prefetching Using Data Structure KnowledgeProceedings of the 2016 International Conference on Supercomputing10.1145/2925426.2926254(1-11)Online publication date: 1-Jun-2016
        • (2014)WCET Preserving Hardware Prefetch for Many-Core Real-Time SystemsProceedings of the 22nd International Conference on Real-Time Networks and Systems10.1145/2659787.2659824(193-202)Online publication date: 8-Oct-2014
        • (2013)Prefetching across a shared memory tree within a Network-on-Chip architecture2013 International Symposium on System on Chip (SoC)10.1109/ISSoC.2013.6675268(1-4)Online publication date: Oct-2013
        • (2008)Low-Cost Adaptive Data PrefetchingProceedings of the 14th international Euro-Par conference on Parallel Processing10.1007/978-3-540-85451-7_36(327-336)Online publication date: 26-Aug-2008
        • (2007)Fairness enforcement in switch on event multithreadingACM Transactions on Architecture and Code Optimization10.1145/1275937.12759394:3(15-es)Online publication date: 1-Sep-2007
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media