Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/997163.997182acmconferencesArticle/Chapter ViewAbstractPublication PagescpsweekConference Proceedingsconference-collections
Article

Feedback driven instruction-set extension

Published: 11 June 2004 Publication History
  • Get Citation Alerts
  • Abstract

    Application specific instruction-set processors combine an efficient general purpose core with special purpose functionality that is tailored to a particular application domain. Since the extension of an instruction set and its utilization are non-trivial tasks, sophisticated tools have to provide guidance and support during design. Feedback driven optimization allows for the highest level of specialization, but calls for a simulator that is aware of the newly proposed instructions, a compiler that makes use of these instructions without manual intervention, and an application program that is representative for the targeted application domain.In this paper we introduce an approach for the extension of instruction sets that is built around a concise yet powerful processor abstraction. The specification of a processor is well suited to automatically generate the important parts of a compiler backend and cycle-accurate simulator. A typical design cycle involves the execution of the representative application program, evaluation of performance statistics collected by the simulator, refinement of the processor specification guided by performance statistics, and update of the compiler and simulator according to the refined specification. We demonstrate the usefulness of our novel approach by example of an instruction set for symmetric ciphers.

    References

    [1]
    K. Atasu, L. Pozzi, and P. Ienne. Automatic application-specific instruction-set extensions under microarchitectural constraints. In Proceedings of the 40th conference on Design automation, pages 256--261. ACM Press, 2003.
    [2]
    H. Choi, I.-C. Park, S. H. Hwang, and C.-M. Kyung. Synthesis of application specific instructions for embedded DSP software. In International Conference on Computer Aided Design (ICCAD-98), pages 665--671, N. Y., Nov. 8--12 1998. ACM Press.
    [3]
    H. Corporaal. Microprocessor Architectures -- from VLIW to TTA. John Wiley & Sons, 1998.
    [4]
    M. A. Ertl, D. Gregg, A. Krall, and B. Paysan. Vmgen --- a generator of efficient virtual machine interpreters. Software Practice and Experience, 32(3):265--294, Mar. 2002.
    [5]
    D. Fischer, U. Kastens, J. Teich, M. Thies, and R. Weper. Design space characterization for architecture/compiler co-exploration. In ACM SIG Proc. International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES 2001), pages 108--115, Georgia, Atlanta, USA, Nov. 2001.
    [6]
    D. Fischer, J. Teich, M. Thies, and R. Weper. Efficient architecture/compiler co-exploration for ASIPs. In ACM SIG Proc. International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES 2002), pages 27--34, Grenoble, France 2002, Oct. 2002.
    [7]
    C. W. Fraser, D. R. Hanson, and T. A. Proebsting. Engineering a simple, efficient code-generator generator. ACM Letters on Programming Languages and Systems, 1(3):213--226, Sept. 1992.
    [8]
    D. Goodwin and D. Petkov. Automatic generation of application specific processors. In Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems, pages 137--147. ACM Press, 2003.
    [9]
    A. Hoffmann, O. Schliebusch, A. Nohl, G. Braun, O. Wahlen, and H. Meyr. A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA. In 2001 IEEE/ACM International Conference on Computer-Aided Design (ICCAD '01), pages 625--630, Washington - Brussels - Tokyo, Nov. 2001. IEEE.
    [10]
    R. Kastner, S. Ogrenci-Memik, E. Bozorgzadeh, and M. Sarrafzadeh. Instruction generation for hybrid reconfigurable systems. In 2001 IEEE/ACM International Conference on Computer-Aided Design (ICCAD '01), pages 127--131, Washington - Brussels - Tokyo, Nov. 2001. IEEE.
    [11]
    Motorola. M-Core Reference Manual, 1998.
    [12]
    Motorola. MMC2001 Reference Manual, 1998.
    [13]
    A. Peymandoust, L. Pozzi, P. Ienne, and G. D. Micheli. Automatic instruction set extension and utilization for embedded processors. In Proceedings of the IEEE 14th International Conference on Application-specific Systems, Architectures and Processors, The Hague, The Netherlands, June 2003.
    [14]
    L. Pozzi, M. Vuletic, and P. Ienne. Automatic topology-based identification of instruction-set extensions for embedded processors. Technical report, Swiss Federal Institute of Technology Lausanne, Processor Architecture Laboratory, 2001.
    [15]
    T. A. Proebsting. Burs automata generation. ACM Transactions on Programming Languages and Systems (TOPLAS), 17(3):461--486, 1995.
    [16]
    T. A. Proebsting. Optimizing an ANSI~C interpreter with superoperators. In Principles of Programming Languages (POPL '95), pages 322--332, 1995.
    [17]
    E. Stümpel, M. Thies, and U. Kastens. VLIW compilation techniques for superscalar architectures.
    [18]
    O. Wahlen, T. Gloekler, A. Nohl, A. Hoffmann, R. Leupers, and H. Meyr. Application specific compiler/architecture codesign: a case study. In Proceedings of the joint conference on Languages, compilers and tools for embedded systems, pages 185--193. ACM Press, 2002.
    [19]
    A. Wang, C. Rowen, D. Maydan, and E. Killian. Hardware/Software instruction set configurability for System-on-Chip processors. In Proceedings of the 2001 Design Automation Conference (DAC-01), pages 184--188, New York, June 18--22 2001. ACM Press.

    Cited By

    View all
    • (2022)Virtual Prototype driven Design, Implementation and Evaluation of RISC-V Instruction Set Extensions2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)10.1109/DDECS54261.2022.9770108(14-19)Online publication date: 6-Apr-2022
    • (2013)A systematic approach for optimized bypass configurations for application-specific embedded processorsACM Transactions on Embedded Computing Systems10.1145/2514641.251464513:2(1-25)Online publication date: 30-Sep-2013
    • (2010)Resource efficiency of hardware extensions of a 4-issue VLIW processor for elliptic curve cryptographyAdvances in Radio Science10.5194/ars-8-295-20108(295-305)Online publication date: 22-Dec-2010
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    LCTES '04: Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
    June 2004
    276 pages
    ISBN:1581138067
    DOI:10.1145/997163
    • cover image ACM SIGPLAN Notices
      ACM SIGPLAN Notices  Volume 39, Issue 7
      LCTES '04
      July 2004
      265 pages
      ISSN:0362-1340
      EISSN:1558-1160
      DOI:10.1145/998300
      Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 11 June 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. codesign
    2. compiler generation
    3. encryption
    4. instruction-set extensions
    5. network processor
    6. simulator generation

    Qualifiers

    • Article

    Conference

    LCTES04

    Acceptance Rates

    Overall Acceptance Rate 116 of 438 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)4
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 10 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Virtual Prototype driven Design, Implementation and Evaluation of RISC-V Instruction Set Extensions2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS)10.1109/DDECS54261.2022.9770108(14-19)Online publication date: 6-Apr-2022
    • (2013)A systematic approach for optimized bypass configurations for application-specific embedded processorsACM Transactions on Embedded Computing Systems10.1145/2514641.251464513:2(1-25)Online publication date: 30-Sep-2013
    • (2010)Resource efficiency of hardware extensions of a 4-issue VLIW processor for elliptic curve cryptographyAdvances in Radio Science10.5194/ars-8-295-20108(295-305)Online publication date: 22-Dec-2010
    • (2010)Design Space Exploration for Memory Subsystems of VLIW ArchitecturesProceedings of the 2010 IEEE Fifth International Conference on Networking, Architecture, and Storage10.1109/NAS.2010.14(377-385)Online publication date: 15-Jul-2010
    • (2010)A Framework for the Design Space Exploration of Software-Defined Radio ApplicationsMobile Lightweight Wireless Systems10.1007/978-3-642-16644-0_14(148-159)Online publication date: 2010
    • (2009)A Synchronization Method for Register Traces of Pipelined ProcessorsAnalysis, Architectures and Modelling of Embedded Systems10.1007/978-3-642-04284-3_19(207-217)Online publication date: 2009
    • (2008)Exhaustive Enumeration of Legal Custom Instructions for Extensible ProcessorsProceedings of the 21st International Conference on VLSI Design10.1109/VLSI.2008.93(261-266)Online publication date: 4-Jan-2008
    • (2007)A multiprocessor cache for massively parallel soc architecturesProceedings of the 20th international conference on Architecture of computing systems10.5555/1763274.1763281(83-97)Online publication date: 12-Mar-2007
    • (2007)Resource efficiency of the GigaNetIC chip multiprocessor architectureJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2006.10.00753:5-6(285-299)Online publication date: 1-May-2007
    • (2007)NISDProceedings of the 3rd international conference on Embedded Software and Systems10.1007/978-3-540-72685-2_26(271-282)Online publication date: 14-May-2007
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media