Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Simultaneous hardware and time redundancy with online task scheduling for low energy highly reliable standby-sparing system

Published: 10 March 2014 Publication History

Abstract

Standby-sparing is one of the common techniques in order to design fault-tolerant safety-critical systems where the high level of reliability is needed. Recently, the minimization of energy consumption in embedded systems has attracted a lot of concerns. Simultaneous considering of high reliability and low energy consumption by DVS is a challenging problem in designing such a system, since using DVS has been shown to reduce the reliability profoundly. In this article, we have studied different schemes of standby-sparing systems from the energy consumption and reliability point of view. Moreover, we propose a new standby-sparing scheme which addresses both reliability and energy consumption jointly together. This scheme uses a simple energy management coupled with an online task scheduler which tries to dispatch those ready tasks which are expected to lead to high reliability and low energy consumption in the system. The effectiveness of the proposed scheme has been shown on TGFF under stochastic workloads. The results show 52% improvement on energy saving compared to the conventional hot standby-sparing system. Moreover, two orders of magnitude higher reliability is obtained on average, while preserving the same level of energy saving as compared to the state-of-the-art low-energy standby-sparing system (LESS).

References

[1]
H. Aydin, R. Melhem, D. Mosse, and P. Mejia-Alvarez. 2004. Power-aware scheduling for periodic real-time tasks. IEEE Trans. Comput. 53, 5, 584--600.
[2]
T. Burd and R. Brodersen. 2000. Design issues for dynamic voltage scaling. In Proceedings of the International Symposium on Low Power Electronics and Design. 9--14.
[3]
T. D. Burd, T. A. Pering, A. J. Stratakos, and R. W. Brodersen. 2000. A dynamic voltage scaled microprocessor system. IEEE J. Solid-State Circuits 35, 11, 1571--1580.
[4]
G. Contreras and M. Martonosi. 2005. Power prediction for intel xscale processors using performance monitoring unit events. In Proceedings of International Symposium on Low Power Electronics and Design. 221--226.
[5]
Cplex Optimizer, High-performance mathematical programming solver for linear/mixed integer/quadratic programming, http://www-01.ibm.com/software/integration/optimization/cplex-optimizer/.
[6]
V. Devadas and H. Aydin. 2012. On the interplay of voltage/frequency scaling and device power management for frame-based real-time embedded applications. IEEE Trans. Comput. 61, 1, 31--44.
[7]
A. Ejlali, B. M. Al-Hashimi, and P. Eles. 2012. Low-energy standby-sparing for hard real-time systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31, 3, 329--342.
[8]
P. Eles, V. Izosimov, P. Pop, and Z. Peng. 2008. Synthesis of fault-tolerant embedded systems. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'08). ACM, New York, 1117--1122.
[9]
GAMS. 2012. The General Algebraic Modeling System (GAMS) 23.9 Ed. http://www.gams.com/.
[10]
Y. Guo, D. Zhu, and H. Aydin. 2011. Reliability-aware power management for parallel real-time applications with precedence constraints. In Proceedings of the 2nd International Green Computing Conference.
[11]
M. A. Haque, H. Aydin, and D. Zhu. 2010. Energy-aware standby-sparing technique for periodic real-time applications. In Proceedings of the IEEE International Conference on Computer Design (ICCD'10). IEEE, 190--197.
[12]
Z. Herczeg, Á. Kiss, D. Schmidt, N. Wehn, and T. Gyimóthy. 2007. XEEMU: An improved XScale power simulator. In Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. Springer, 300--309.
[13]
H. Kopetz. 2011. Real-Time Systems: Design Principles for Distributed Embedded Applications. Springer Science+ Business Media.
[14]
H. Kopetz, R. Obermaisser, P. Peti, and N. Suri. 2004. From a federated To an integrated architecture for dependable embedded real-time system. Tech. rep. 22, Institut für Technische Informatik, Technische Universität Wien.
[15]
I. Koren and C. M. Krishna. 2007. Fault-Tolerant Systems. Morgan Kaufmann, Elsevier.
[16]
R. Melhem, D. Mosse, and E. Elnozahy. 2004. The interplay of power management and fault recovery in real-time systems. IEEE Trans. Comput. 53, 2, 217--231.
[17]
R. Mosse, H. Aydin, B. Childers, and R. Melhem. 2000. Compiler-assisted dynamic power aware scheduling for real-time applications. In Proceedings of the Workshop on Compiler and OS for Low Power.
[18]
P. Pop, K. H. Poulsen, V. Izosimov, and P. Eles. 2007. Scheduling and voltage scaling for energy/reliability trade-offs in fault-tolerant time-triggered embedded systems. In Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Co-Design and System Synthesis (CODES+ISSS'07). ACM, New York, 233--238.
[19]
D. K. Pradhan. 1996. Fault-Tolerant Computer System Design. Prentice-Hall.
[20]
Red Hat, Inc. eCos v2.0 Embedded Operating System. http://sources.redhat.com/ecos.
[21]
D. Rhodes, R. Dick, and W. Wolf. 1998. Tgff: Task graphs for free. In Proceedings of the 6th International Workshop on Hardware/Software Codesign (CODES/CASHE'98). IEEE, 97--101.
[22]
C. Rusu, R. Melhem, and D. Mosse. 2003. Maximizing rewards for real-time applications with energy constraints. ACM Trans. Embed. Comput. Syst. 2, 4, 1--23.
[23]
M. T. Schmitz, B. M. Al-Hashimi, and P. Eles. 2004. System-Level Design Techniques for Energy-Efficient Embedded Systems. Kluwer, Norwell, MA.
[24]
P. Shivakumar, M. Kistler, D. Burger, S. W. Keckler, and L. Alvisi. 2002. Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'02). IEEE, 389--398.
[25]
R. Sridharan, N. Gupta, and R. N. Mahapatra. 2008. Feedback-controlled reliability-aware power management for real-time embedded systems. In Proceedings of the 45th Annual Design Automation Conference (DAC'08). ACM, New York, 185--190.
[26]
M. K. Tavana, M. Salehi, and A. Ejlali. 2011. Feedback-based energy management in a standby-sparing scheme for hard real-time systems. In Proceedings of the IEEE Real-Time Systems Symposium (RTSS'11). IEEE, 349--356.
[27]
Transmeta. 2005. Crusoe processor specification. http://www.transmeta.com/crusoe/specs.html.
[28]
W. Wang and P. Mishra. 2012. System-wide leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in multitasking systems. IEEE Trans. VLSI Syst. 20, 5, 902--910.
[29]
Wasabi Systems Inc. Wasabi Systems GNU tools version 010413 for Intel XScale microarchitecture http://www.intel.com/design/intelxscale/dev_tools/031121/wasabi_031121.htm.
[30]
J. Wegner and F. Mueller. 2001. A comparison of static analysis and evolutionary testing for the verification of timing constraints. Real-Time Systems 21, 241--268.
[31]
Wind River Systems, Inc. VxWorks 5.4 Datasheet. http://www.windriver.com/products/html/vxwks54_ds.html.
[32]
F. Xie, M. Martonosi, and S. Malik. 2003. Compile-time dynamic voltage scaling settings: opportunities and limits. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI'03).
[33]
XScale. 2007. Intel XScale Microarchitecture. http://developer.intel.com/design/intelxscale/.
[34]
XScalepower. 2005. Intel XScale Microarchitecture: benchmarks. http://developer.intel.com/design/intelxscale/benchmarks.htm.
[35]
R. Xu, D. Mossé, and R. G. Melhem. 2007. Minimizing expected energy consumption in real-time systems through dynamic voltage scaling. ACM Trans. Comput. Syst. 25, 4, Article 9.
[36]
B. Zhao, H. Aydin, and D. Zhu. 2011. Generalized reliability-oriented energy management for real-time embedded applications. In Proceedings of the 48th Design Automation Conference (DAC'11). ACM, New York, 381--386.
[37]
D. Zhu. 2010. Reliability-aware dynamic energy management in dependable embedded real-time systems. ACM Trans. Embed. Comput. Syst. 10, 2, Article 26.
[38]
D. Zhu and H. Aydin. 2006. Energy management for real-time embedded systems with reliability requirements. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'06). ACM, New York, 528--534.
[39]
D. Zhu, H. Aydin, and J. Chen. 2008. Optimistic reliability aware energy management for real time tasks with probabilistic execution times. In Proceedings of the Real-Time Systems Symposium (RTSS'08). IEEE, 313--322.
[40]
D. Zhu, R. Melhem, and D. Mosse. 2004. The effects of energy management on reliability in real-time embedded systems. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'04). IEEE, 35--40.

Cited By

View all
  • (2023)System-level reliability assessment of optical network on chipMicroprocessors and Microsystems10.1016/j.micpro.2023.10484399(104843)Online publication date: Jun-2023
  • (2021)A Fault-Tolerant Scheduling Algorithm Based on Checkpointing and Redundancy for Distributed Real-Time SystemsResearch Anthology on Architectures, Frameworks, and Integration Strategies for Distributed and Cloud Computing10.4018/978-1-7998-5339-8.ch036(770-788)Online publication date: 2021
  • (2020)An Efficient Fault-Tolerant Scheduling Approach with Energy Minimization for Hard Real-Time Embedded SystemsDistributed Computing for Emerging Smart Networks10.1007/978-3-030-40131-3_7(102-117)Online publication date: 25-Jan-2020
  • Show More Cited By

Index Terms

  1. Simultaneous hardware and time redundancy with online task scheduling for low energy highly reliable standby-sparing system

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Transactions on Embedded Computing Systems
          ACM Transactions on Embedded Computing Systems  Volume 13, Issue 4
          Regular Papers
          November 2014
          647 pages
          ISSN:1539-9087
          EISSN:1558-3465
          DOI:10.1145/2592905
          Issue’s Table of Contents
          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Journal Family

          Publication History

          Published: 10 March 2014
          Accepted: 01 August 2013
          Revised: 01 June 2013
          Received: 01 December 2012
          Published in TECS Volume 13, Issue 4

          Permissions

          Request permissions for this article.

          Check for updates

          Author Tags

          1. Reliability
          2. energy consumption
          3. hard real-time systems
          4. scheduling

          Qualifiers

          • Research-article
          • Research
          • Refereed

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)1
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 06 Oct 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2023)System-level reliability assessment of optical network on chipMicroprocessors and Microsystems10.1016/j.micpro.2023.10484399(104843)Online publication date: Jun-2023
          • (2021)A Fault-Tolerant Scheduling Algorithm Based on Checkpointing and Redundancy for Distributed Real-Time SystemsResearch Anthology on Architectures, Frameworks, and Integration Strategies for Distributed and Cloud Computing10.4018/978-1-7998-5339-8.ch036(770-788)Online publication date: 2021
          • (2020)An Efficient Fault-Tolerant Scheduling Approach with Energy Minimization for Hard Real-Time Embedded SystemsDistributed Computing for Emerging Smart Networks10.1007/978-3-030-40131-3_7(102-117)Online publication date: 25-Jan-2020
          • (2019)A Fault-Tolerant Scheduling Algorithm Based on Checkpointing and Redundancy for Distributed Real-Time SystemsInternational Journal of Distributed Systems and Technologies10.4018/IJDST.201907010410:3(58-75)Online publication date: Jul-2019
          • (2019)An Efficient Fault-Tolerant Scheduling Approach with Energy Minimization for Hard Real-Time Embedded SystemsCybernetics and Information Technologies10.2478/cait-2019-003519:4(45-60)Online publication date: 11-Dec-2019
          • (2018)ElasticCoreIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.275921926:2(249-261)Online publication date: 1-Feb-2018
          • (2017)Thermal-aware task mapping in 3D manycores targeting high performance applications2017 Iranian Conference on Electrical Engineering (ICEE)10.1109/IranianCEE.2017.7985291(1554-1559)Online publication date: May-2017
          • (2016)Two-State Checkpointing for Energy-Efficient Fault Tolerance in Hard Real-Time SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.251283924:7(2426-2437)Online publication date: Jul-2016

          View Options

          Get Access

          Login options

          Full Access

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media