Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Power and energy reduction via pipeline balancing

Published: 01 May 2001 Publication History
  • Get Citation Alerts
  • Abstract

    Minimizing power dissipation is an important design requirement for both portable and non-portable systems. In this work, we propose an architectural solution to the power problem that retains performance while reducing power. The technique, known as Pipeline Balancing (PLB), dynamically tunes the resources of a general purpose processor to the needs of the program by monitoring performance within each program. We analyze metrics for triggering PLB, and detail instruction queue design and energy savings based on an extension of the Alpha 21264 processor. Using a detailed simulator, we present component and full chip power and energy savings for single and multi-threaded execution. Results show an issue queue and execution unit power reduction of up to 23% and 13%, respectively, with an average performance loss of 1% to 2%.

    References

    [1]
    D. Albonesi. Dynamic IPC/clock rate optimization. In Proceedings of the International Symposium on Computer A rchitecture, June 1998.
    [2]
    D. Albonesi. Selective cache ways: On-demand cache resource allocation. In Proceedings of the International Symposium on Microarchitecture, November 1999.
    [3]
    V. Arasanipalai. Private communication, Compaq Computer Corporation.
    [4]
    T. Burd Processor design for portable systems. Journal of VLSI Signal Processing, August 1996.
    [5]
    G. Chrysos and J. Emer. Memory dependence prediction using store sets. In Proceedings of the International Symposium on Computer Architecture, June 1998.
    [6]
    Compaq Computer Corporation. Alpha 21264 Microprocessor Hardware Reference Manual, July 1999.
    [7]
    Compaq Computer Corporation. The AS1M Manual, August 2000.
    [8]
    J.L. Cruz, A. Gonzalez, M. Valero, and N. Topham. Multiplebanked register file architectures. In Proceedings of the International Symposium on Computer Architecture, June 2000.
    [9]
    J. A. Farrell and T. C. Fischer. Issue logic for a 600-mhz outof-order execution microprocessor. IEEE Journal of Solid- State Circuits, May 1998.
    [10]
    S. Ghiasi, J. Casmira, and D. Grunwald. Using 1PC variation in workloads with externally specified rates to reduce power consumption. In Workshop on Complexi~. -Effective Design, June 2000. Held in conjunction with the International Symposium on Computer Architecture.
    [11]
    P. N. Glaskowsky. Pentium 4 (partially) previewed. Microprocessor Report, August 2000.
    [12]
    M. K. Gowan, L. L. Biro, and D. B. Jackson. Power considerations in the design of the alpha 21264 microprocessor. In Proceedings of the Design Automation Conference ( DAC), June 1998.
    [13]
    D. Grunwald, A. Klauser, S. Manne, and A. Pleszkun. Confidence estimation for speculation control. In Proceedblgs of the International Symposium on Computer Architecture, June 1998.
    [14]
    S. Manne, A. Klauser, and D. Grunwald. Pipeline gating: Speculation control for energy reduction. In Proceedings of the International Symposium on Computer Architecture, June 1998.
    [15]
    J. S. Seng, D. M. Tullsen, and G. Z. N. Cai. Power-sensitive multithreaded architecture. In Proceedings of the International Conference on Computer Design, October 2000.
    [16]
    A. Snavely and D. M. Tullsen. Symbiotic jobscheduling lor a simultaneous multithreading architecture. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), November 2000.
    [17]
    D. W. Wall. Limits of instuction-level parallelism. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), November 1991.
    [18]
    K. Wilcox and S. Manne. Alpha processors: A history of power issues and a look to the future. In Cool-Chips Tutorial, November 1999. Held in conjunction with the International Symposium on Microarchitecture.

    Cited By

    View all
    • (2016)Clock gating methodologies and toolsInternational Journal of Circuit Theory and Applications10.1002/cta.210744:4(798-816)Online publication date: 1-Apr-2016
    • (2015)Micro-architectural simulation of embedded core heterogeneity with gem5 and McPATProceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools10.1145/2693433.2693440(1-6)Online publication date: 19-Jan-2015
    • (2015)Mobile Ecosystem Driven Dynamic Pipeline Adaptation for Low PowerArchitecture of Computing Systems – ARCS 201510.1007/978-3-319-16086-3_7(83-95)Online publication date: 11-Mar-2015
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 29, Issue 2
    Special Issue: Proceedings of the 28th annual international symposium on Computer architecture (ISCA '01)
    May 2001
    262 pages
    ISSN:0163-5964
    DOI:10.1145/384285
    Issue’s Table of Contents
    • cover image ACM Conferences
      ISCA '01: Proceedings of the 28th annual international symposium on Computer architecture
      June 2001
      289 pages
      ISBN:0769511627
      DOI:10.1145/379240

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 May 2001
    Published in SIGARCH Volume 29, Issue 2

    Check for updates

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)19
    • Downloads (Last 6 weeks)1

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)Clock gating methodologies and toolsInternational Journal of Circuit Theory and Applications10.1002/cta.210744:4(798-816)Online publication date: 1-Apr-2016
    • (2015)Micro-architectural simulation of embedded core heterogeneity with gem5 and McPATProceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools10.1145/2693433.2693440(1-6)Online publication date: 19-Jan-2015
    • (2015)Mobile Ecosystem Driven Dynamic Pipeline Adaptation for Low PowerArchitecture of Computing Systems – ARCS 201510.1007/978-3-319-16086-3_7(83-95)Online publication date: 11-Mar-2015
    • (2014)Heterogeneous microarchitectures trump voltage scaling for low-power coresProceedings of the 23rd international conference on Parallel architectures and compilation10.1145/2628071.2628078(237-250)Online publication date: 24-Aug-2014
    • (2014)A comparative simulation study on the power---performance of multi-core architectureThe Journal of Supercomputing10.1007/s11227-014-1263-170:1(465-487)Online publication date: 1-Oct-2014
    • (2012)Composite CoresProceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2012.37(317-328)Online publication date: 1-Dec-2012
    • (2006)Dynamic Resizing of Superscalar Datapath Components for Energy EfficiencyIEEE Transactions on Computers10.1109/TC.2006.2355:2(199-213)Online publication date: 1-Feb-2006
    • (2023)Instruction Profiling Based Predictive Throttling for Power and PerformanceIEEE Transactions on Computers10.1109/TC.2023.330607972:12(3532-3545)Online publication date: 1-Dec-2023
    • (2022)On-chip supply noise in multiprocessors: impact and clock gating inspired mitigation strategiesInternational Journal of Electronics10.1080/00207217.2022.2158493(1-20)Online publication date: 28-Dec-2022
    • (2019)Post-silicon CPU adaptation made practical using machine learningProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322267(14-26)Online publication date: 22-Jun-2019
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media