Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                

Examen Sem 13

Descargar como pdf o txt
Descargar como pdf o txt
Está en la página 1de 5

14/6/23, 21:40 Evaluación salida de Laboratorio 13 Elementos de Memoria G-A: Circuitos Electrónicos Integrados - C5 3ero A-A - C5 3ero B-A-A

Evaluación salida de Laboratorio 13 Elementos de


Memoria G-A
Fecha de entrega 14 de jun en 23:59 Puntos 8 Preguntas 6
Disponible 14 de jun en 0:00 - 14 de jun en 23:59 23 horas y 59 minutos
Límite de tiempo 20 minutos

Historial de intentos
Intento Hora Puntaje
MÁS RECIENTE Intento 1 10 minutos 7 de 8

 Las respuestas correctas estarán disponibles el 19 de jun en 0:00.

Puntaje para este examen: 7 de 8


Entregado el 14 de jun en 21:38
Este intento tuvo una duración de 10 minutos.

Pregunta 1 1 / 1 pts

Respecto al FF-JK de la figura, marque la opción correcta:

 
El FF posee dos entradas de datos, una entada de reloj, una entrada
asíncrona y una salida de datos

https://tecsup.instructure.com/courses/34601/quizzes/318337 1/5
14/6/23, 21:40 Evaluación salida de Laboratorio 13 Elementos de Memoria G-A: Circuitos Electrónicos Integrados - C5 3ero A-A - C5 3ero B-A-A

 
El FF posee dos entradas de datos, una entrada asíncrona y dos
salidas de datos

 
El FF posee tres entradas de datos, una entrada asíncrona y una salida
de datos

 
El FF posee tres entradas de datos, una entada de reloj y una salida de
datos

Pregunta 2 1 / 1 pts

Las entradas asincrónicas son solo dos entradas que podemos


agregar a los flip flops. Estas entradas son muy importantes, ya que
deciden Q sin importar el pulso del reloj o cualquier otra entrada. Estas
entradas son llamadas en Inglés: Clear y [A].

preset

Pregunta 3 1 / 1 pts

Respecto al FF-JK de la figura, marque la opción correcta:

https://tecsup.instructure.com/courses/34601/quizzes/318337 2/5
14/6/23, 21:40 Evaluación salida de Laboratorio 13 Elementos de Memoria G-A: Circuitos Electrónicos Integrados - C5 3ero A-A - C5 3ero B-A-A

  El proceso se ejecuta cuando cambia clk y Q

  El proceso se ejecuta cuando cambia Fub2

  El proceso se ejecuta cuando cambia D

  El proceso se ejecuta cuando cambia clk o clr

Pregunta 4 1 / 1 pts

En un FF-JK, cuando las entradas J y K son iguales a 1, una transición


de reloj, alterna las salidas del flip-flop a: 

  Su estado inicial

  Cero

  Uno

  Su complemento

https://tecsup.instructure.com/courses/34601/quizzes/318337 3/5
14/6/23, 21:40 Evaluación salida de Laboratorio 13 Elementos de Memoria G-A: Circuitos Electrónicos Integrados - C5 3ero A-A - C5 3ero B-A-A

Pregunta 5 2 / 2 pts

Completar el código VHDL mostrado:

signal clk: std_logic

:='0';
signal rst: std_logic := '1';
signal b: std_logic := '0';

signal d1: std_logic_vector

(11 downto 0):= (others => '1');


signal d2: std_logic_vector(11 downto 0) := (others => '0');

Respuesta 1:

std_logic

Respuesta 2:

std_logic_vector

Parcial Pregunta 6 1 / 2 pts

Completar el código VHDL mostrado:

process(clk,rst)
begin
if (rst = '1') then

Q1<="000000000000";

elsif (clk' event and clk='1') th

and clk='1') then

if (B = '0') then

D1(11) <= '0';


D1(10) <= '0';
D1(9) <= '0';
Q1 <= D1;
https://tecsup.instructure.com/courses/34601/quizzes/318337 4/5
14/6/23, 21:40 Evaluación salida de Laboratorio 13 Elementos de Memoria G-A: Circuitos Electrónicos Integrados - C5 3ero A-A - C5 3ero B-A-A

elsif (B = '1') then

D2(2) <= '1';


D2(1) <= '1';
D2(0) <= '1';
Q2 <= D2;

end if;
end if;
end process;

Respuesta 1:

event and clk='1') then

Respuesta 2:

then

Puntaje del examen: 7 de 8

https://tecsup.instructure.com/courses/34601/quizzes/318337 5/5

También podría gustarte