BCD Adder
BCD Adder
BCD Adder
VHDL MODULE:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
use IEEE.NUMERIC_STD.ALL;
entity bcdadd is
end bcdadd;
begin
z<=('0'& x)+y;
end Behavioral;
EXPERIMENT NO: 4 NAME:NOVEL PATEL
DATE:29\8\2018 ROLL NO:1704094
USE ieee.std_logic_1164.ALL;
--USE ieee.numeric_std.ALL;
ENTITY bcd_tb IS
END bcd_tb;
COMPONENT bcdadd
PORT(
);
END COMPONENT;
--Inputs
--Outputs
BEGIN
x => x,
y => y,
s => s
);
-- <clock>_process :process
-- begin
-- end process;
-- Stimulus process
-- stim_proc: process
-- begin
OUTPUT WAVEFORM: