Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
0% found this document useful (0 votes)
648 views682 pages

1996 Motorola Master Selection Guide

Download as pdf or txt
Download as pdf or txt
Download as pdf or txt
You are on page 1/ 682

® MOTOROL.

A
SG73/D
REV 10

MOTOROLA SEMICONDUCTOR
MASTER SELECTION GUIDE
Introduction
Semicustom Application Specific Ifl
Integrated Circuits (ASIC) L!J

Microcomputer Components [2J


Logic: Standard, Special I3l
and Programmable ~

Analog and Interface 141


Integrated Circuits ~

Communications, Power and Ii:l


Signal Technologies Group Products ~
Product Literature and 'E)l
Technical Training ~
Device Index and 'fl
Subject Index LLJ
MOTOROLA

Master Selection Guide

The information in this book has been carefully checked and is believed to be accurate; however, no responsibility is assumed
for inaccuracies. Furthermore, this information does not convey to the purchaser of semiconductor devices any license under the
patent rights to the manufacturer.

Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty.
representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any
liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without
limitation consequential or incidental damages. "Typical" parameters which may be provided in Motorola data sheets and/or
specifications can and do vary in different applications and actual performance may vary over time. All operating parameters,
including ''Typicals'' must be validated for each customer application by customer's technical experts. Motorola does not convey
any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use
as components in systems intended for surgical implant Into the body, or other applications intended to support or sustain life, or
for any other application in which the failure of the Motorola product could create a situation where personal injury or death may
occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall
indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs,
damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death
associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design
or manufacture of the part. Motorola and ® are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal
Opportunity/Affirmative Action Employer.

-NOTE-
REV. 9 WAS PUBLISHED ELECTRONICALLY ONLY
NO BOOKS WERE PRINTED

© Motorola, Inc. 1996


Previous EdHion © 1995
"All Ri9hts Reserved"
Printed in U.S.A.

Motorola Master Selection Guide Introduction


ALExiS, Buffalo, Bullet-Proof, BurstRAM, CDA, CMTL, Ceff-PGA, Customer Defined Array, DECAL, Designer's, DIMMIC,
DSPRAM, ECLinPS, ECLinPS LITE, ECL300, E-FETs, EpiBase, Epicap, FIRsT, GEL-PAK, GEMFET, GlobalOptoisolator,
GreenLine, HDC, HDTMOS, H4C Series, H4C Plus, HYPERformance, ICePAK, L2TMOS, MAACPAC, MCML, MDTL, MECL,
MECL 10K, MECL 10H, MECL III, MEGAHERTZ, MCCS, Media Engine, Memorist, MHTL, MicroCool, MicroSIMM, MiniMOS,
MONOMAX, MOSAIC I, MOSAIC II, MOSAIC III, MOSAIC IV, MOSAIC V, MOSFET, Mosorb, MRTL, MTTL, Multi-Pak, MUSCLE,
Mustang, IlSIMM, OACS, OnCE, PHACT, Predix, PowerBase, POWER OPTO, POWERTAP, PRISMCard, QUIL, Rail-To-Rail,
SCANSWITCH, SENSEFET, SLEEPMODE, SMARTDISCRETES, SMARTMOS, SMARTswitch, SORF, Surmetic,
SWITCH MODE, Symmetric Superscalar, TestPAS, Thermopad, Thermopad II, Thermowatt, TMOS V, Unibloc, UNIT/PAK,
VECOMP, X-Ducer, Z-Switch and ZIP R TRIM are trademarks of Motorola, Inc.
C-QUAM, MOSAIC and TMOS are registered trademarks of Motorola, Inc.

Apollo is a registered trademark of Hewlett Packard Inc.


AutoLogic, NetEd, QuickSim II, QuickPath and Falcon Framework are trademarks of Mentor Graphics Corp.
Concept, Gate Ensemble, Verilog-XL, Veritime and Dracula are trademarks of Cadence Design Systems, Inc.
Daisy is a trademark of Daisy Systems Corporation.
DDCMP and VAX are trademarks of Digital Equipment Corporation.
Design Compiler, HDL Compiler, Test Compiler and DesignWave are trademarks of Synopsys, Inc.
Echelon, LON and NEURON are registered trademarks of Echelon Corporation.
ETHERNET is a trademark of Xerox Corporation.
FACT and FAST are trademarks of National Semiconductor Corporation.
FIDE is a trademark of Aptronix.
GED is a trademark of Valid Logic Systems Inc.
HP/Apolio is a registered trademark of Hewlett-Packard Inc.
i486 and Pentium are registered trademarks of Intel Corporation.
InterTools is a trademark of Intermetrics, Inc.
IBM, IBM PC, PowerPC and SDLC are trademarks of International Business Machines Corporation.
Isotop is a trademark of SGS-Thomson Microelectronics.
LONBuILDER, LONTALK and LONWORKS are trademarks of Echelon Corporation.
Macintosh is a trademark of Apple Computer, Inc.
Mentor Graphics is a trademark of Mentor Graphics Corporation.
Micro8 is a trademark of International Rectifier.
MOTIVE is a registered trademark of Quad Design.
NeXT is a trademark of NeXT Computer, Inc.
ROM68K and SmartROM are trademarks of Integrated Systems, Inc.
SPARC is a trademark of SPARC International, Inc.
Sun-4 is a trademarks of Sun Microsystems Inc.
Thermal Clad is a trademark of the Bergquist Company.
UNIX is a registered trademark of XlOpen Company, Ltd.

All brand names and product names appearing in this document are registered trademarks or trademarks of their
respective holders.

Introduction Mctcrda Master Se!e~jl)n GlJi('l~


Master Selection Guide

Where We Stand ... How To Use This Guide . ..


This Selection guide is arranged to provide three-way
Total Customer Success assistance to engineers and technicians in making a
first-order selection of components best suited for a specific
Service, speed and facility of response, product quality and circuit or system design.
reliability are the goals to which we are dedicated. Our
commitment to progress such as Six Sigma performance and If you have a device number that needs identification or
Cycle lime Reduction are symbolic of a culture in which Total if you want to know if Motorola manufactures a particular
Customer Success is, overwhelmingly, our primary objective. device type:
In today's highly competitive market, selecting the most
1. Turn to the Device Index for a complete listing of Motorola
effective semiconductor components for a given application
poses a significant challenge. The range of available functions products, and the page numbers where more detailed
and the sheer number of components within each unique information is given for these products.
product line is staggering. Add to this the number of vendors
capable of satisfying a portion of the overall system demands If you have a device name or acronym and wish to know
and the selection of a cost-effective component complement if Motorola makes such a device:
can be as time consuming as the design of the system itself.
2. Look for it in the Subject Index.
This is where Motorola occupies a unique position among
semiconductor manufacturers - one that can significantly shorten
the product selection cycle. Please consider these facts: If you want an overview of Motorola products for a
As a manufacturer of semiconductors since the very specific product category:
beginning of the technology, Motorola has emerged as a 3. Refer to the quick-reference product line guide located at
leading supplier of such components to the world market. the front of this publication or use the table of contents
Motorola's product line is the broadest in the industry, located at the front of each section.
capable of filling 75--80% of the many applications for
semiconductor devices. Telephone ASSistance, North America Only
In each of its various product categories, Motorola is a For literature requests or general product information, call
recognized leader, with leading edge products as well as toll-free any weekday, 8:00 a.m. to 4:00 p.m., MST.
commodity products for mass applications. To order technical literature by specific document title, i.e.,
Motorola's vast network of sales offices and distributors, SGXXlD or DLXXXlD, or by part number only, call
augmented by manufacturing centers throughout the world, 1--800-441-2447
not only ensures easy communications, cost-effective
pricing and rapid service, but guarantees a continuing Non-North American Locations
stream of state-of-the-art products based on world-wide Please contact your local Motorola Sales Office or
experience and demand. Authorized Distributor.

Motorola Master Selection Guide iii Introduction


Table of Contents

Semicustom Application Specific The M68000 Family ............... 2.2-1


Integrated Circuits .......... 1.0-1 Microprocessors ............................... 2.2-2
Embedded Controllers .......................... 2.2-5
ASIC Preview ............................... 1.1-1 Integrated Processors. . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-7
Bipolar ..................................... 1.1-1 Coprocessors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-9
ECl & ETl Series Arrays ............. " .... 1.1-1
DMA Controllers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-9
CMOS ..................................... 1.1-1
Network Devices ............................... 2.2-9
1.0 Micron HDC Series
Data Communication Devices ...... " ........... 2.2-10
Sub-Micron H4C & H4CPlus Series. . . . . . . .. 1.1-1
General Purpose I/O .............. " ........... 2.2-11
Design Automation Software (OACSTM) ......... 1.1-1
Fiber Distributed Data Interface ................. 2.2-11
Advanced Packaging ......................... 1.1-1
DevelopmentTools ............................ 2.2-12
Architecture for the 90's CDATM
Support Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-12
(Customer Defined Arrays) ................... 1.1-1
CDA- The Architecture of the '90s ......... 1.1-1 The M88000 RISC Family ......... 2.3-1
Bipolar ECl & ETl Series Arrays ................. 1.1-2 Architecture, Performance,
Third Generation ............................ 1.1-2 and Software Compatibility . . . . . . . . . . . . . . . . . . . . .. 2.3-2
ETl Series Arrays Extend Design Flexibility. .. 1.1-2 Microprocessors ............................... 2.3-2
ETl Series Features Mixed ECl-TTl Interface 1.1-2 Cache/Memory Management Units ............... 2.3-3
CMOS ........................................ 1.1-3
1.0 Micron CMOS HDCTM Series .............. 1.1-3 The PowerPC RISC Family
Triple-layer Metal ........................ 1.1-3 Microprocessors ................. 2.4-1
Sub-Micron CMOS H4CTM Series ....... . . . . .. 1.1-4
PowerPCTM RISC Microprocessors . . . . . . . . . . . . . . .. 2.4-2
CDNM Architecture .. . . . . . . . . . . . . . . . . . . . . .. 1.1-4
MPC601 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-2
Sub-Micron CMOS H4CPlus™ Series
MPC602 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-3
Mixed 3.3 V/5.0 V levels ..................... 1.1-5
MPC603 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-3
Design Automation Software ..................... 1.1-6
MPC603e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-6
The Open Architecture CAD System™ .......... 1.1-6
MPC604 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-9
OACSTM 2.2 and 3.1M Features ................ 1.1-6
MPC604e RISC Microprocessor . . . . . . . . . . . . . . . . .. 2.4-9
Advanced Packaging ........................... 1.1-7
MPC620 RISC Microprocessor ................. , 2.4-13
Quad Flat Pack Molded Carrier Ring
MPC105 PCI Bridge/Memory Controller .......... 2.4-15
(QFP-MCR) ................................ 1.1-7
MPC106 PCI Bridge/Memory Controller .......... 2.4-16
MicroCoolTM Quad Flat Pack ................... 1.1-7
Over-Molded Pad Array Carrier (OMPACTM) ..... 1.1-7 Single-Chip Microcontrollers (CSIC) 2.5-1
Literature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1.1-8
ASIC Regional Design Centers - United States . . .. 1.1-8 M68HC05 CSIC Family ......................... 2.5--2
ASIC Regional Design Centers - International . . . .. 1.1-8 M68HC08 Family .............................. 2.5--13
Development Tools ........................... 2.5-14
On-Line Help ....... . . . . . . . . . . . . . . . . . . . . . . . . .. 2.5-22
Microcomputer Components .. 2.0-1 Single-Chip Microcontrollers (AMCU) . 2.6-1
M68HC11 Family ............................... 2.6-2
Digital Signal Processors ......... 2.1-1 Modular Microcontroller ........................ 2.6-12
DSP56100 -l6-Bit Digital Signal Processors .. 2.1-2 The M68HC16 Family ....................... 2.6-14
DSP56800 -16-Bit Digital Signal Processors .. 2.1-3 The M68300 Family ......................... 2.6-19
DSP56000 - 24-Bit Digital Signal Processors .. 2.1-3 Development Tools .. ' ......................... 2.6-23
DSP56300 - 24-Bit Digital Signal Processors .. 2.1-6 Fuzzy logic .................................. 2.6-26
DSP96002 - 32-Bit Digital Signal Processors ., 2.1-9 On-Line Help ................................. 2.6-26
DSP56ADC16 - The Analog-To--Digital Converter 2.1-10 Third-Party Support ........................... 2.6-27
DSP Development Tools ........................ 2.1-10
Application Development Systems ............ 2.1-10
LonWorks Products .............. 2.7-1
Graphical User Interface ..................... 2.1-12 NEURON CHIPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.7-2
DSP Development Software .................... 2.1-12 lONWORKS Technology Overview and Architecture .. 2.7-5
Design-In Software Packages . . . . . . . . . . . . . . .. 2.1-12 lONBulLDER Developer's Workbench .............. 2.7-6
C-Compiler Packages ....................... 2.1-13 lONWORKS Support Tools . . . . . . . . . . . . . . . . . . . . . . .. 2.7-7
C-Compiler Upgrades . . . . . . . . . . . . . . . . . . . . . .. 2.1-13 lONWORKS Literature ........... '" " ............ 2.7-9

'T_L..I _ _ I. 1"" __ .. __ .....


ICUJIICl VI VVlllgll~ !v Motorola Master Selection Guide
Table of Contents
Microcomputer Components (continued) Power Supply Circuits (continued)
Voltage Regulator/Supervisory. . . . . . . . . . . . . . . .. 4.2-5
Memory Products ................ 2.8-1 SCSI Regulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-8
Fast Static RAMs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.8-2 Switching Regulator Control Circuits
Introduction ................................. 2.8-2 Single-Ended ............................... 4.2-9
Application Specific Static RAMs. . . . . . . . . . . . . .. 2.8-2 Single-Ended with On-Chip Power Switch ..... 4.2-11
Asynchronous 6 to 15 ns 5 V Fast Static RAMs .. 2.8-3 Very High Voltage Single-Ended with
Asynchronous 12 to 35 ns 5 V Fast Static RAMs. 2.8-3 On-Chip Power Switch ..................... 4.2-11
Fast Static RAM Modules ..................... 2.8-4 Double-Ended ............................. 4.2-12
Dynamic RAMs ................................ 2.8-5 High Voltage Switching Regulator ............. 4.2-13
Introduction ................................. 2.8-5 Special Switching Regulator Controllers
DRAM Modules ............................. 2.8-5 Dual Channel .............................. 4.2-15
Dynamic RAMs (HCMOS) .................... 2.8-6 Universal Microprocessor .................... 4.2-15
Power Factor ............................... 4.2-15
Logic: Standard, Special SuperviSOry Circuits
Overvoltage Crowbar Sensing ................ 4.2-18
and Programmable .......... 3.0-1 Over/Undervoltage Protection ................ 4.2-18
Motorola Logic Families: Which Is Best for You? .... 3.1-1 Undervoltage Sensing ....................... 4.2-19
Motorola Programmable Arrays (MPA) ............ 3.1-5 Universal Voltage Monitor. . . . . . . . . . . . . . . . . . .. 4.2-20
Selection by Function Battery Management Circuits
Logic Functions ............................ 3.1-13 Battery Charger ICs ......................... 4.2-21
Device Index .................................. 3.1-40 Battery Pack ICs . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-23
Ordering Information ........................... 3.1-49 MOSFET/IGBT Drivers
Case Outlines ................................. 3.1-53 High Speed Dual Drivers. . . . . . . . . . . . . . . . . . . .. 4.2-25
Packaging Information ......................... 3.1-86 Single IGBT Driver. . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-25
Surface Mount ............................. 3.1-86 Package Overview ............................ 4.2-26
Pin Conversion Tables ....................... 3.1-86
Tape and Reel .............................. 3.1-87
Power/Motor Control Circuits ..... 4.3-1
Logic Literature Listing ......................... 3.1-88 Power Controllers .............................. 4.3-2
Zero Voltage Switches . . . . . . . . . . . . . . . . . . . . . . .. 4.3-2
Zero Voltage Controller ....................... 4.3-3
Analog and Interface High-Side Driver Switch ...................... 4.3-4
Integrated Circuits .......... 4.0-1 Motor Controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-4
Brushless DC Motor Controllers ............... 4.3-4
Amplifiers and Comparators ...... 4.1-1 Closed-Loop Brushless Motor Adapter ......... 4.3-7
Operational Amplifiers ........................... 4.1-2 DC Servo Motor Controller/Driver .............. 4.3-8
Single ...................................... 4.1-2 Stepper Motor Driver . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-9
Dual ....................................... 4.1-3 Universal Motor Speed Controllers ............ 4.3-10
Quad ....................................... 4.1-4 Triac Phase Angle -Controller ................ 4.3-11
High Frequency Amplifiers ....................... 4.1-5 Package Overview ............................ 4.3-12
AGC ....................................... 4.1-5
Miscellaneous Amplifiers ........................ 4.1-6 Voltage References. . . . . . . . . . . . . .. 4.4-1
Bipolar ..................................... 4.1-6 Precision Low Voltage References . . . . . . . . . . . . . . .. 4.4-2
CMOS ..................................... 4.1-6 Package Overview ............................. 4.4-2
Comparators ................................... 4.1-7
Single ...................................... 4.1-7 Data Conversion ................. 4.5-1
Dual ....................................... 4.1-7
Quad ....................................... 4.1-7 Data Conversion ............................... 4.5-2
Package Overview ............................. 4.1-8 A-D Converters ............................. 4.5-2
CMOS ................................... 4.5-2
Bipolar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.5-2
Power Supply Circuits ............ 4.2-1 Sigma-Delta ............................. 4.5--2
Linear Voltage Regulators D-A Converters ............................. 4.5--3
Fixed Output ................................ 4.2-2 CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.5--3
Adjustable Output. . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-4 Sigma-Delta ............................. 4.5--3
Special Regulators ............................. 4.2-5 Package Overview ............................. 4.5-4

Motorola Master Selection Guide v Table of Contents


Table of Contents
Analog and Interface Communication Circuits (continued)
Integrated Circuits (continued) Voice Switched Speakerphone with
J.lProcessor Interface ..................... 4.7-27
Voice Switched Speakerphone Circuit ....... 4.7-28
Interface Circuits ................. 4.6-1 Family of Speakerphone ICs ............... 4.7-29
Telephone Accessory Circuits
High Performance Decoder Driver/Sink Driver . . . . .. 4.6-3 Audio Amplifier ........................... 4.7-30
ISO 8802-3[IEEE 802.3]1 OBASE-T Transceiver ... 4.6-3 Current Mode Switching Regulator ......... 4.7-30
Hex EIA-485 Transceiver with 300 Baud FSK Modems ................... 4.7-31
Three-State Outputs ........................... 4.6-4 ADPCM Transcoder ...................... 4.7-31
5.0 V, 200 M-BitlSec PR-IV Hard Disk Calling Line Identification (CLiD) Receiver ... 4.7-32
Drive Read Channel ........................... 4.6-5 CVSD Modulator/Demodulator ............. 4.7-33
Line Receivers ... . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7 Summary of Bipolar Telecommunications
EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7 Circuits ................................ 4.7-34
Line Drivers ................................... 4.6-7 Phase-Locked Loop Components ............... 4.7-36
EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7 PLL Frequency Synthesizers ................. 4.7-36
Line Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7 Phase-Locked Loop Functions ............... 4.7-37
EIA-232-E/V.28 CMOS Drivers/Receivers ...... 4.6-8 Package Overview ............................ 4.7-39
Peripheral Drivers ........................... 4.6-8
IEEE 802.3 Transceivers. . . . . . . . . . . . . . . . . . . . .. 4.6-8 Consumer Electronic Circuits ..... 4.8-1
ReadlWrite Channel ............................ 4.6-8 Entertainment Radio Receiver Circuits ............ 4.8-2
Drive Read Channel ...... : . . . . . . . . . . . . . . . . . .. 4.6-8 Entertainment Receiver RFIIF ................. 4.8-2
CMOS Display Drivers .......................... 4.6-9 C-Quam® AM Stereo Decoders ... . . . . . . . . . . .. 4.8-2
Package Overview ............................ 4.6-10 Audio Amplifiers ............................. 4.8-2
Video Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Encoders ................................... 4.8-3
Communication Circuits . ......... 4.7-1 TV Decoders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Video Capture Chip Sets. . . . . . . . . . . . . . . . . . . . .. 4.8-3
RF Communications TV Picture-in-Picture ........................ 4.8-3
Wideband IFs ............................... 4.7-2 Comb Filters ................................ 4.8-3
Wideband Single Conversion Receivers ........ 4.7-2 Deflection .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Narrowband Single Conversion Receivers ....... 4.7-2 TV IF Circuits ............................... 4.8-3
Narrowband Dual Conversion Receivers ........ 4.7-3 Tuner PLL Circuits ........................... 4.8-4
Universal Cordless Phone Subsystem IC ....... 4.7-3 Modulators ................................ ;. 4.8-4
Transmitters ................................ 4.7-3 Video Data Converters ....................... 4.8-4
Balanced Modulator/Demodulator .............. 4.7-4 Monitor Subsystem .......................... 4.8-4
Infrared Transceiver .......................... 4.7-4 Sound . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Telecommunications Miscellaneous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Subscriber Loop Interface Circuit ............. 4.7-11 Circuit Descriptions and Diagrams ............. 4.8-5
PBX Architecture (Analog Transmission) Package Overview ............................ 4.8-25
PCM Mono-Circuits ...................... 4.7-12
Dual Tone Multiple Frequency Receiver ..... 4.7-15 Automotive Electronic Circuits .... 4.9-1
ISDN Voice/Data Circuits Voltage Regulators ............................. 4.9-2
Integrated Services Digital Network ......... 4.7-15 Electronic Ignition .............................. 4.9-2
Second Generation U-Interface Transceiver .. 4.7-16 Special Functions .............................. 4.9-3
Second Generation SIT-Interface Transceiver 4.7-16 Package Overview ........................ , ... 4.9-12
Dual Data Link Controller .................. 4.7-17
Voice/Data Communication (Digital Transmission) 4.7-18 Other Analog Circuits ........... 4.10-1
Universal Digital Loop Transceiver ........... 4.7-18 liming Circuits
ISDN Universal Digital Loop Transceiver II ... 4.7-19 Singles .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.10-2
Electronic Telephone Circuit .................. 4.7-19 Duals ..................................... 4.10-2
Tone Ringers ............................... 4.7-20 Multipliers .................................... 4.10-2
Speech Networks ........................... 4.7-21 Linear Four-Quadrant Multipliers . . . . . . . . . . . . .. 4.10-2
Speakerphone Smoke Detectors (CMOS) ...................... 4.10-3
Voice Switched Speakerphone Circuit ....... 4.7-25 Package Overview ............................ 4.1 Q-4

Table of Contents vi Motorola Master Selection Guide


Table of Contents
Analog and Interface TMOS Power MOSFETs Products .. 5.4-1
Integrated Circuits (continued) TMOS Power MOSFETs ........................ 5.4-1
TMOS Power MOSFETs Numbering System .... 5.4-2
Tape and Reel Options .......... 4.11-1 HDTMOSTM Power MOSFETs ................. 5.4-3
Tape and Reel ................................ 4.11-2 TMOS V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-5
AnalogMPQTable ............................. 4.11-4 SMARTDISCRETES Products ................. 5.4-7
N-Channel MOSFETs " . . . . . . . . . . . . . . . . . . . . .. 5.4-8
Communications, Power and SO-8 MiniMOS ........................... 5.4-8
S0-8 EZFET . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
Signal Technologies Group Micro8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
Products .................. 5.0-1 SOT-223 ................................ 5.4-9
DPAK .................................. 5.4-10
Small Signal Transistors, FETs D2PAK ................................. 5.4-11
D3PAK ................................. 5.4-12
and Diodes ...................... 5.1-1
TO-220AB .............................. 5.4-13
Bipolar Transistors .............................. 5.1-2
TO-247 ................................. 5.4-15
Plastic-Encapsulated Transistors .............. 5.1-2
TO-264 ...................... , ..... , .... 5.4-16
Plastic-Encapsulated Multiple Transistors . . . . . .. 5.1-8
P-Channel MOSFETs ....................... 5.4-17
Plastic-Encapsulated Surface
SO-8 MiniMOS .......................... 5.4-17
Mount Transistors .......................... 5.1-10
Micro8 .................................. 5.4-17
Metal-Can Transistors ...................... 5.1-17
SOT-223 ............................... 5.4-18
Field-EffectTransistors ........................ 5.1-19
DPAK .................................. 5.4-18
JFETs ..................................... 5.1-19
D2PAK ................................. 5.4-19
MOSFETs ................................. 5.1-21
TO-220AB .............................. 5.4-19
Surface Mount FETs ........................ 5.1-22
Logic Level MOSFETs ....................... 5.4-20
Tuning and Switching Diodes ................... 5.1-24
SOT-223 ............................... 5.4-20
Tuning Diodes - Abrupt Junction ............. 5.1-24
DPAK .................................. 5.4-20
Tuning Diodes - Hyper-Abrupt Junction ...... 5.1-28
D2PAK ................................. 5.4-21
Hot-Carrier (Schottky) Diodes .. . . . . . . . . . . . . .. 5.1-32
TO-220AB .............................. 5.4-21
Switching Diodes ........................... 5.1-34
Insulated Gate Bipolar Transistors (IGBTs) ..... 5.4-22
Multiple Switching Diodes .................... 5.1-38
N-Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-22
GreenLine Devices ............................ 5.1-40
Ignition IGBTs . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-22
TVSlZeners Standard and Copackaged IGBTs ........ 5.4-22

Transient Voltage Suppressors I Zener


Regulator and Reference Diodes .. 5.2-1
Bipolar Power Transistors ........ 5.5-1
TVS (Transient Voltage Suppressors) ............. 5.2-2
General-Purpose . . . . . . . . . . . . . . . . . .. . . . . . . . .. 5.2-2 Bipolar Power Transistors ....................... 5.5-2
Axial Leaded for Through-hole Designs ...... 5.2-2 Selection by Package ........................ 5.5-2
Surface Mount Packages ..................... 5.2-9 Plastic TO-220AB . . . . . . . . . . . . . . . . . . . . . . . .. 5.5-3
Overvoltage Transient Suppressors ........... 5.2-15 Plastic T0-218 Type ...................... 5.5-6
Zener Diodes ................................. 5.2-16 Plastic TO-247 Type ...................... 5.5-7
Voltage Regulator Diodes . . . . . . . . . . . . . . . . . . .. 5.2-16 Large Plastic T0-264 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
Notes - Axial Leaded Chart ................. 5.2-20 Plastic T0-225AA Type
Notes - Surface Mount Chart . . . . . . . . . . . . . . .. 5.2-23 (Formerly T0-126 Type) .................. 5.5-8
Voltage Reference Diodes ................... 5.2-31 DPAK - Surface Mount Power Packages .... 5.5-10
Current Regulator Diodes ... . . . . . . . . . . . . . . . .. 5.2-31 Metal T0-204AA (Formerly T0-3).
T0-204AE ............................. 5.5-11
Hybrid Power Module Operation .. 5.3-1 Audio ..................................... 5.5-15
Integrated Power Stage IGBT .................... 5.3-2 Electronic Lamp Ballasts . . . . . . . . . . . . . . . . . . . .. 5.5-16

Motorola Master Selection Guide vii Table of Contents


Table of Contents

Communications, Power and Sensors (continued)


Signal Technologies Group Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3
Products (continued) Typical Electrical Characteristic Curves ......... 5.9-4
Unibody Cross-sectional Drawings. . . . . . . . . . . .. 5.9-4
Rectifiers ........................ 5.6-1 Pressure Side Identification ................... 5.9-5
Rectifier Numbering System ..................... 5.6-2 Selector Guide .............................. 5.9-6
Application Specific Rectifiers .................... 5.6-3 Reference Table ............................ 5.9-11
Low VF Schottky ............................ 5.6-3 Packaging Options .......................... 5.9-12
MEGAHERTZ ... . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-3
SCANSWITCH .............................. 5.6-3 RF Products .................... 5.10-1
Automotive Transient Suppressors ............. 5.6-3
SWITCHMODETM Rectifiers . . . . . . . . . . . . . . . . . . . . .. 5.6-4 RF Discrete Transistors ........................ 5.10-2
Surface Mount Schottky ...................... 5.6-4 RF Power MOSFETs .......... . . . . . . . . . . . . .. 5.10-4
Axial Lead Schottky .. . . . . . . . . . . . . . . . . . . . . . . .. 5.6-6 RF Power Bipolar Transistors. . . . . . . . . . . . . . . .. 5.10-6
TG-220 Type Schottky ....................... 5.6-7 HF Transistors . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.10-6
TG-218 Types and TG-247 Schottky ........... 5.6-8 VHF Transistors ......................... 5.10-6
POWERTAP II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-9 UHF Transistors ......................... 5.10-7
Ultrafast Rectifiers ............................. 5.6-10 900 MHz Transistors ..................... 5.1o-a
Surface Mount Ultrafast ...................... 5.6-10 1.5 GHz Transistors ..................... 5.10-10
Axial Lead Ultrafast ......................... 5.6-10 Microwave Transistors ................... 5.10-10
Linear Transistors ....................... 5.10-12
TG-220 Type Ultrafast ...................... 5.6-11
RF Small Signal Transistors ................. 5.10-14
TG-218 Types and TG-247 Ultrafast .......... 5.6-12
Selection by Package .................... 5.10-15
POWERTAP II .............................. 5.6-12
Plastic SOE Case .................... 5.10-15
Fast Recovery Rectifiers/General
Ceramic SOE Case ................... 5.10-17
Purpose Rectifiers ....................... 5.6-13 Selection by Application .................. 5.10-18
GaAs Rectifiers Power Manager™ ............... 5.6-14 Low Noise ........................... 5.10-18
CATV, MATV and Class A Linear ....... 5.10-19
Thyristors and Triggers ........... 5.7-1 RF Monolithic Integrated Circuits ............... 5.10-20
Silicon Controlled Rectifiers ...................... 5.7-2 Switching ................................. 5.10-21
TRIACs ....................................... 5.7-7 Antenna Switches .. . . . . . . . . . . . . . . . . . . . .. 5.10-21
General Purpose ............................ 5.7-7 Receiver Functions ........................ 5.10-21
Thyristor Triggers .............................. 5.7-14 General Purpose Integrated Circuits ....... 5.10-21
SIDACs ................................... 5.7-14 900 MHz Front End. . . . . . . . . . . . . . . . . . . . .. 5.10-21
Programmable Unijunction Transistors - PUT .. 5.7-14 1.5-2.2 GHz Front End .................. 5.10-21
Silicon Bidirectional Switch (SBS) ............. 5.7-14 2.4 GHz Front End ...................... 5.10-22
High Voltage Bidirectional TVS Devices ........ 5.7-14 Transmitter Functions ...................... 5.10-22
General Purpose Integrated Circuits ....... 5.10-22
Optoelectronic Devices ........... 5.8-1 900 MHz Transmit Chain .... . . . . . . . . . . . .. 5.10-23
Optoisolators .................................. 5.8-2 1.5-2.2 GHz Transmit Chain ............. 5.10-24
Safety Standard Approvals for 6-Pin 2.4 GHz Transmit Chain .................. 5.10-25
Optoisolators ............................... 5.8-2 RF Amplifiers ................................ 5.10-26
Regulatory Approval Certification Index ......... 5.8-2 High Power ............................... 5.10-28
VDE Approved Optoisolators .................. 5.8-3 Land Mobile/Portable .................... 5.10-28
6-Pin Dual In-line Package. . . . . . . . . . . . . . . . . . . . .. 5.8-6 TV Transmitters ......................... 5.10-29
Small Outline - Surface Mount .................. 5.8-9 Low Power ................................ 5.10-30
POWER OPTO Isolators ....................... 5.8-10 CATV Distribution ....................... 5.10-30
CRT Drivers ............................ 5.10-35
Fiber Optic Receivers. . . . . . . . . . . . . . . . . . .. 5.10-35
Sensors ......................... 5.9-1
Introduction ................................. 5.9-2
Surface Mount Information ...... 5.11-1
The Basic Structure .......................... 5.9-2
Motorola's Patented X-ducer . . . . . . . . . . . . . . . . .. 5.9-2 Information for Using Surface Mount Packages .... 5.11-2
Linearity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3 Footprints for Soldering ........................ 5.11-5

Table of C"'Alntents viii Motorola Master Selection Guide


Table of Contents
Communications, Power and Product Literature
Signal Technologies Group and Technical Training ....... 6.0-1
Products (continued) Technical Data Services ......................... 6.1-1
Motorola Semiconductor Master Selection Guide .. 6.1-1
Tape and Reel Specifications Semiconductor Data Update Magazine ......... 6.1-1
and Packaging Specifications .... 5.12-1 Mfax- Touch-Tone Fax ..................... 6.1-1
Internet Server .............................. 6.1-1
Tape and Reel Specifications ................... 5.12-2
Motorola Data and Application Literature ........... 6.1-2
Embossed Tape and Reel Ordering Information. 5.12-3
Motorola Application Literature .............. '" 6.1-6
Embossed Tape and Reel Data for Discretes ... 5.12-4
Technical Training .............................. 6.1-7
Lead Tape Packaging Standards
for Axial-Lead Components ................. 5.12-6
Packaging Specifications ....................... 5.12-7 Device Index
T0-92 EIA Radial Tape in Fan Fold Box
oronReel ................................. 5.12-7
and Subject Index ........... 7.0-1
Fan Fold Box Styles ......................... 5.12-9 Device Index ................................... 7.1-1
Adhesion Pull Tests ......................... 5.12-9 General Index .............. , ...... '" .... , ..... 7.2-1
Reel Styles ............................... 5.12-10 Subject Index .................................. 7.2-9

Motorola Master Selection Guide ix Table of Contents


Table of Contents x Motorola Master Selection Guide
Semicustom Application Specific
Integrated Circuits

In Brief ...
Motorola supports strategic programs and Page
ASIC Preview ............................... 1.1-1
co--development partnerships to accelerate the availability
Bipolar ..................................... 1.1-1
of advanced processes (CMOS, BiCMOS, Bipolar),
ECl& ETlSeriesArrays ................... 1.1-1
packaging and CAD technology. Extensive research,
CMOS ..................................... 1.1-1
manufacturing and financial resources are focused to
1.0 Micron HDC Series
develop and maintain leading edge capabilities.
Sub-Micron H4C & H4CPlus Series. . . . . . . .. 1.1-1
Design Automation Software (OACSTM) ......... 1.1-1
Advanced Packaging ......................... 1.1-1
Architecture for the 90's CDATM
(Customer Defined Arrays) ................... 1.1-1
CDA - The Architecture of the '90s ......... 1.1-1
Bipolar ECl & ETl Series Arrays ................. 1.1-2
Third Generation ............................ 1.1-2
ETl Series Arrays Extend Design Flexibility . .. 1.1-2
ETl Series Features Mixed ECl-TTL Interface 1.1-2
CMOS ........................................ 1.1-3
1.0 Micron CMOS HDCTM Series .............. 1.1-3
Triple-layer Metal ........................ 1.1-3
Sub-Micron CMOS H4CTM Series ............. 1.1-4
CDATMArchitecture ........................ 1.1-4
Sub-Micron CMOS H4CPlus™ Series
Mixed 3.3 V/5.0 V levels ..................... 1.1-5
DeSign Automation Software ..................... 1.1-6
The Open Architecture CAD System™ .......... 1.1-6
OACSTM 2.2 and 3.1 M Features . . . . . . . . . . . . . . .. 1.1-6
Advanced Packaging ........................... 1.1-7
Quad Flat Pack Molded Carrier Ring
(QFP-MCR) ................................ 1.1-7
MicroCoolTM Quad Flat Pack. . . . . . . . . . . . . . . . . .. 1.1-7
Over-Molded Pad Array Carrier (OMPACTM) ..... 1.1-7
Literature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1.1-8
ASIC Regional DeSign Centers - United States .... 1.1-8
ASIC Regional Design Centers - International ..... 1.1-8

Motorola Master Selection Guide 1.0-1 Application Specific Integrated Circuits (ASICs)
Application Specific Integrated Circuits (ASICs) 1.0-2 rviotoroia iviH:Sier Seiectlofi Guide
ASIC Preview
Bipolar Architecture for the 90's CDA™
ECl & ETl Series Arrays (Customer Defined Arrays)
Motorola's MOSAIC IIITM technology features modified Performance, density and power dissipation are critical
transistor structures to reduce series base resistance and issues for next generation ASIC designs. The integration of
collector-base junction capacitance. The result is enhanced large diffused blocks and embedded memory enhances
switching speed. Mixed ECLfITL interface compatibility and intra-chip communication and saves board area. The
high frequency (over 2.5 GHz) operation highlights the ETL Customer Defined Array (CDA) concept lets designers
Series. combine array-based, cell based, and full custom logic with
diffused memory blocks on a die. The concept equally
CMOS supports Bipolar and CMOS, each with the capability to
incorporate BiCMOS modules.
1.0 Micron HOC Series
COA - The Architecture of the '90s
Sub-Micron H4C & H4CPlus Series
High density CMOS arrays (HOC Series) are built on 1.0 Architecture Methodology Technology
micron drawn, triple-layer-metal CMOS process. By utilizing
three layers of metal for signal routing, designers can achieve
greater utilization on a channelless architecture.
The sub-micron (0.7IlLeff) H4C Series enables densities
I PROG~~~~ABLEH,_.P.AL_. . I BIPOLAR
over 300 K gates with 365 picosecond typical gate delay
performance. It's available in Custom Defined Architecture
(CDA). Customer
Motorola's highest performance 0.6 micron CMOS arrays, Defined Arrays
the H4CPlus Series, are targeted for mixed 3.3 V and 5 V
applications. The H4CPlus arrays range in density from CMOS
28,400 to 178,000 available gates with packages ranging from
128 QFP to 313 OMPAC.

Design Automation Software


(OACSTM)
Motorola's Open Architecture CAD System (OACS) Benefits
provides a complete ASIC development environment using • Time-to-market through integration of functional
industry-standard workstations and leading third-party building blocks and ASIC design methodology.
design and verification tools. The OACS system integrates • Customers can create application specific arrays.
sophisticated ASIC design software tools to handle high • Diffused RAM optimized for performance and denSity.
performance designs and has the required flexibility to support • Fixed die sizes for ease of manufacturing.
future technology advances.

Advanced Packaging
OMPAC: (Over-Molded Pad Array Carrier), a surface
mount plastic package with solder bumps instead of traditional
pins for interfacing to printed circuit boards.
QFP-MCR: Quad Flat Package in lead counts from 64 to 304
in optional Molded Carrier Ring which provides coplanarity and
lead protection during manufacturing, testing and shipping.
MicroCool QFP: A new QFP-compatible plastic package
with heat slug attached for improved heat dissipation capacity.

Motorola Master Selection Guide 1.1-1 Application Specific Integrated Circuits (ASICs)
Bipolar
Eel & Ell Series Arrays
Third Generation
ETL Series Arrays
Extend Design Flexibility
The ETl Series is flexible enough to simplify translation
between high speed logic families.
Three. base arrays:
MCA750ETl, MCA3200ETl, MCA6200ETl
• 848 to 6915 Equivalent Gates
• Channelled Architecture for up to 100% Utilization
• Input and Internal ECl Gate Delays - 0.20 ns (Typical)
• TIL Input'Translation Cell Delay - 0.55 ns (Typical)
• Up to 168 Universal I/O Signal Ports
• Bidirectional ECl and TIL I/O Macros
• ECl 100 K, Pseudo ECl and TIL logic Interfaces
• Programmable Speed/Power levels
Figure 1. MCA6200ETl In Multi-Layer Ceramic
• Three-level Series Gated Macros
• MCA2 and MCA3 ECl Series Library Compatible
224 Pln-Grld-Array Designed for High Frequency,
Mlxed-Mode Applications
Motorola's MOSAIC III bipolar process offers unexcelled
mixed TIUECl interface capability in a high performance,
mature technology.

ETL Series Features


Mixed ECL-TTL Interface lOOK lOOK
ECLOR PECL f--- -... ECLOR PECL
The ETl Series offers mixed ECl, PECl (pseudo ECl) and INPUT BUFFERS MACRO OUTPUT BUFFERS
TIL compatible Interfaces. The Series combines 200 ps typical CELLS
gate delays with 2500 MHz operating frequencies. Any signal M-CELLS
pin can be programmed for input, output, or bidirectional
signals in ECl, TIL or PECl logic. MOSAIC III process
technology, combined with innovative design, extensive
macroceli library and versatile I/O structure adds up to
TTL INPUT
TRANSLATORS
--- -... TTL OUTPUT
TRANSLATORS

superior performance and flexibility. Figure 2. ETl Series Block Diagram

Table 1. ECl & ETl Series Features


Array MCA MCA MCA MCA MCA
Features 2200ECL 10000ECL 750ETL 3200ETL 6200ETL
Technology M08AICIII
Equivalent Gates 2412 12402 848 3570 6915
Internal (Major) Cells 68 414 24 110 225
1/08ignais 108 256 Universal 1/0 Ports
Input/Interface Cells 96 224 42 120 168
Output (0) Cells 96 200
Max Gate Delay (ns) 0.175 0.175 0.2 0.2 0.2
Max 1/0 Frequency (MHz) 1500 1200 2500 2500 2500
Typical Power Dissipation 0Nl 3-6 lQ-30 1-2 4-7 7-12

Application Specific Integrated Circuits (ASiCsj i.i-2 MQtoiQla Mast6i Selection Guide
CMOS
1.0 Micron CMOS
HDCTM Series
Triple-Layer Metal
Built on a 1.0 micron, triple-layer metal CMOS process, the
HDC Series represents a significant advancement in
microchip technology. By utilizing three layers of metal for
signal routing and power distribution, designers can achieve
maximum utilization on a channelless architecture having
minimum chip dimensions. The result is high performance
combined with 1/0 flexibility and density.
The HDC Series is available in a wide variety of plastic
surface mount packages. The diversity of package style and
pin count lets the designer best match system size, cost and Figure 3. Triple-Layer Metal Signal Routing
performance requirements. Enhances Utilization

Features
• 3,000 to 49,OOO available gates
• Up to 70% utilization
• Channelless Sea-Of-Gates architecture
• 1.0 micron drawn gate length (0.8 ~Leff)
• Triple layer metal routing and power distribution
• Eight transistor, fully utilizable, oxide isolated primary cell QFP-MCR
MOLDED CARRIER RING
• 475 picosecond typical gate delay (2-input NAND)
64-208 PINS
• Fixed RAM blocks (single, dual and quad)
• 5 V CMOS and TTL compatible 1/0 options
• Low power consumption of 6 ~W/gate IMHz
• 110 cells can be paralleled on-chip for 48 mA drive
• Pin functions are 100% programmable as 1/0 or power
on plastic packages
• 1000 V ESD protection, latchup immunity to 100 mA
• Comprehensive workstation based CAD support

Figure 4. Typical HOC Series Packages

Table 2. HOC Series Features


Available #of Die Pads Available Die Size Package
Array
Gates (Wirebond) If0 Cells (mils square) Pins

HDC003 3,036 76 88 136 28-68


HDC006 5,670 96 120 168 28-84
HDC008 8,208 108 144 182 28-100
HDC011 11,208 120 168 202 28-100
HDC016 16,416 136 204 232 68-128
HDC027 27,270 168 264 282 84-160
HDC031 31,290 180 280 295 68-160
HDC049 49,368 216 352 354 160-208

Motorola Master Selection Guide 1.1-3 Application Specific Integrated Circuits (ASICs)
Sub-Micron CMOS ¥"" FIXED I/O RING U
H4CTM Series
CDA Architecture
The H4C Series of CMOS Customer Defined ArraysTht (CDA)
B RAM

~
I/OCELLS--

provides a new generation of ASICs to capture the functionality


of the sub-micron process. The new fabrication process of the
H4C Series supports speed requirements of 60 MHz processors >- MEGAFUNCTIONSI
EMBEDDED BLOCKS
with a power dissipation of only 3 !!WIMHzlgate.
The CDA architecture offers the versatility and efficiency of
D GATE ARRAY
STRUCTURE
system design on a single chip by providing large, full~iffused
architectural blocks such as user configurable SRAMs.
Additionally, to ensure high quality ASIC system designs, several
design-for-test implementations and clock skew management
schemes are available.
Figure 5. The CDA Concept: Megafunctions and
Features Embedded Blocks Within a Gate Array
• 18,080 to 317,968 available gates
• Compatible channelless, Sea-ot-Gates and
CDA architectures
• 0.7 micron effective gate length
• Triple-layer-metal signal routing and power distribution
• Up to 70% gate utilization (smaller arrays)
• 365 picosecond typical gate delay (2-input NAND)
• User configurable, fully diffused SRAM blocks
up to 256K bits
• Low power consumption - 3 !!w/MHzlgate OMPAC
• 3.3 V and 5.0 V CMOS and TIL compatible I/O cells
• BIST, JTAG (IEEE 1149.1) and LSSD/ESSD scan supported
• Digital PLL to manage clock skew
• Boundary scan embedded in periphery
• Extended workstation-based CAD support for ~
.~
....
' ..

embedded functions MicroCool QFP


• Clock tree synthesis and clock skew management

Figure 6. Typical H4C Series Packages


Table 3. H4C Series Features
Array Available Gates #ofDie Pads 110 Cells Package Pins
H4C018 18,080 136 160 80-120
H4C027 27,048 160 196 80-128
H4C035 35,392 176 224 80-160
H4C057 57,368 216 284 80-225
H4C086 85,956 256 344 120-225
H4C123 123,136 304 416 160-313
H4C161 161,364 344 476 160-313
H4C195 195,452 376 524 160-375
H4C267 266,832 432 612 447
H4C318 317,968 468 668 447

Application Specific Integrated Circuits (A::il(;s) ;.i-4 Motoiola Mastei Selection Guide
Product Preview SELF-TERMINATING
DIFFERENTIAL
Sub-Micron CMOS
H4CPluS™ Series ENABLE
Mixed 3.3 V/S.O V Levels DATA OUT
The new sub-rnicron CMOS H4CPlus Series is targeted for DATA IN
mixed 3.3 V and 5 V applications, as well as low-power 3.3 V
systems. The H4CPlus arrays range in density from 28,400 to HIGH-SPEED HIGH-SPEED
178,000 available gates with packages initially ranging from 128 OUTPUT INPUT
QFP to 313 OMPAC. HIGH-SPEED HIGH-SPEED
A key feature of this family is a powerful I/O buffer aimed at INPUT OUTPUT
meeting the requirement for GTl VO levels and capable of
driving backplanes of 50 n transmission lines in today's SELF-TERMINATED
high-performance RISC/CISC microprocessor-based systems. SINGLE-ENDED
For the highest possible chip--to-chip operating frequencies,
the H4CPlus family introduces Current Mode Transceiver Figure 7. Interfacing H4CPlus Series with Current
logic™ (CMTUM) buffers. This new self-terminating I/O method Mode Transceiver Logic
permits CMOS chip--to-chip interface speeds (using typical
differential or single-ended inputs) to 250 MHz, at low power
dissipation. It also provides a differential interface directly to
industry standard ECLinPSTM logic when used with a +5 V rail.
OFP-MCR
Features: MOLDED CARRIER
RING
• 0.6 micron effective gate length
• Typical gate delay of 280 ps for a NAN2, FO 2 at 5 V =
• Power dissipation of 1 IlW/gate/MHz at 3.3 V
d ~ '* ~
• Standard 5 V high performance or 2.7 V to 3.6 V low 4 ... " " ......
~ .. J> I> "

.
........... .. J> ..

power configurations, with mixed 3.3 V and 5 V : :::: :::::;:;;;


........... ". OMPAC
combinations ..
" ...... " ,., " .... .,
I> ........ ~

....................
f! . . . . . . . ..
~
BALL GRID ARRAY
• Single I/O site, 2 rnA to 24 rnA drive, TTL and CMOS .. . . . .. . .. ...... " .." ~

output macros
"
; : ; : : : : : : : ; : :~!
. . . '" .. ., . . . f ~ ........ "<

• PECl input buffer macros supporting inputs . . . 1> • • • • • 1 • • • •


,'I \ > ,.

to 250 MHz Typical


• Current Mode Transceiver logic I/O buffer for
self-terminated, high-speed differential or single-€nded
interfacing to 250 MHz
• Separate 5 V and 3.3 V power bussing MicroCool
• Embedded analog Pll' macros for up to 125 MHz clocks OFP
• Industry standard JTAG boundary scan built into I/O
macros
• DFT methodology support (JTAG, SIST, lSSD, ESSD) Figure 8. Typical H4CPlus Series Packages

Table 4. H4CPlus Series Features


Die Size Die Pads
Array Name Available Gates (milS/side) Wirebond I/O Cells Package Pins
H4CP028 28,400 239 176 160 128-169
H4CP048 48,100 287 216 208 128-225
H4CP075 74,520 337 256 256 128-225
H4CP109 109,368 391 304 312 160-313
H4CP146 145,544 438 344 360 160-313
H4CP178 178,000 476 376 400 160-313

This document contains information on a product under development. Motorola reserves the right to change or discontinue this product without notice.

Motorola Master Selection Guide 1.1-5 Application Specific Integrated Circuits (ASICs)
Design Automation Software
Motorola has worked closely with several leading
CAD/CAE vendors to integrate the best design tools in the
industry into one system. In many cases, Motorola has been
instrumental in the definition and refining of key third-party
design tools.
To satisfy specific CAD requirements, Motorola has
developed several design tools to perform netlisting and
translation, rule checking, delay and timing calculation, fault
grading and automatic test pattern generation, floorplanning, Open Architecture
test vector analysis and processing.
The OACS 2.2 and 3.1 M features chart briefly describes
CAD System
Motorola's OACSTM ASIC design system options.
into a standard EDIF based CAD environment. The release of
The Open Architecture CAD this Design Reference Guide corresponds to the release of
two major versions of OACS: OACS 2.2 and OACS 3.1 M.
System™ OACS 2.2 is Motorola's point tool CAE solution based on
Cadence's ConceptTM schematic editor, Synopsys' synthesis
The Open Architecture CAD System (OACS) offers a highly tools, and Cadence's Verilog™ logic simulator.
versatile and powerful design environment for the design of OACS 3.1 M is Motorola'S framework based CAE solution
Motorola's H4CPlus, HC4 Series, and HOC Series CMOS using Mentor's Falcon Framework™. This solution provides
arrays. The OACS integrates several of the industry's most support of Mentor's design entry tools and QuickSim II logic
powerful design tools with Motorola's high-performance tools simulation.

OACSTM 2.2 and 3.1 M Features:


• EDIF 2.0.0 backplane approach to providing an open • TestPASTM test vector validation and extraction
design environment • ERC and MARV comprehensive electrical and
• Supports the following third-party design tools: manufacturing rules checking
• Synopsys' Design Compiler™, HDL Compiler™, Test • PrediXTM floorplanning (optional)
Compiler™ (optional) and Design Wave™ • Testability support: ESSDILSSD scan, JTAG boundary
• Cadence's Concept™ schematic capture (2.2) scan, BIST, and scan synthesis
• Cadence's Verilog XLTM simulator and Veritime™ static • Sophisticated delay and timing limits calculations for
timing analysis (2.2) accurate simulation and timing analysiS
• Quad Design's MOTIVE® static timing analysis • Estimated and actual (back-annotated) wire
(optional) (2.2) capacitances
• Mentor Graphics' Falcon Framework™ (3.1 M) • Includes intrinsic, riselfall time, output pin loading and
• Mentor Graphics' QuickSim IITM simulator and distributed RC delays
QuickPath™ static timing analysis (3.1 M) • Continuous process, temperature, and voltage variation
• Mentor Graphics' AutoLogic™ design synthesis tool • Clock skew management: clock-tree synthesis, PLL,
• Cadence's Gate Ensemble™ and Dracula™ phYSical timing driven layout
layout and verification tools (factory only) • Supports multiple technologies: HDCMOS, H4C,
• Motorola design tools: H4C-CDA-1C, H4CPlus
• Memorist™ diffused SRAM compiler (optional) • Supported on HP9000nXX and SUN-4 SPARC®
• Mustang™ automatic test pattern generation (optional) workstations

AtJJJii(;aliun Specific liitegiated Ciicuits (AS!C::;) 1.1-6 Motorola Master Selection Guide
Advanced Packaging
Low cost, high performance systems require excellence in
ASIC packaging technology. MicroCool, QFP-MCR (Quad
Flat Pack in an optional Molded Carrier Ring), and
Over-Molded Pad Array Carrier (OM PAC) packages illustrate
cost effective manufacturing solutions for high lead count,
high frequency applications.

Quad Flat Pack Molded


Carrier Ring (MCR-QFP)
Motorola currently offers the popular EIAJ standard Plastic
Quad Flat Package (QFP) in lead counts from 64 to 240 pins. Figure 10. 169-lead OverMolded Pad Array Carrier
The Molded Carrier Ring (MCR) is a coplanarity and lead (OM PAC) Saves Board Space and
protection device for QFP packages. The ring provides lead Improves Manufacturing Yields
protection during manufacturing/testing and shipping.
Standard ring sizes simplify manufacturing across the
range of packages and improve component testability.

MicroCooiTMQuad Flat Pack Over-Molded Pad


The MicroCool QFP is a new QFP compatible plastic Array Carrier (OMPACTM)
package with improved heat dissipation capacity. It has a heat OMPAC consists of a thin double metal printed circuit
slug attached to a printed circuit board which supports a board, overmolded with plastic. The integrated circuit is
copper lead frame. The package incorporates a molded attached to a gold-plated die flag on the substrate with a
carrier ring to maintain pin coplanarity. Lead counts range from silver-filled epoxy. Electrical connections to the integrated
64 to 304 pOints. MicroCool packaging is cost-effective and circuits are made using conventional gold ball bonding
capable of meeting high power dissipation (up to 5 W, depending techniques.
on temperature and ambient conditions). Primary Advantages Over QFP
Features: • Eliminates concerns with lead coplanarity
• Thermally improved footprint compatible version of • Improved electrical performance
MCR-QFP package • Comparable or better thermal performance
• Constructed using PCB with attached leadframe and heat • Requires less costly PCB pitch
slug. The die is attached to the slug which is exposed on • Smaller size
the package top surface • No risk of lead damage
• Coplanarity less than 4 mils using MCR techniques-(PCB • Improved manufacturing yields
material aids good coplanarity by cutting bowing of • Competitive pricing
plastic)

DIE ATTACH
EPOXY

GOLD PLATED
DIE ATTACH
SOLDER BALL

Figure 11. Simplified Cross-Sectional View of OMPAC

Figure 9. MicroCool Quad Flat-Pack in


Molded Carrier Ring Lowers Board Cost and
Improves Thermal Performance

Motorola Master Selection Guide 1.1-7 Application Specific Integrated Circuits (ASICs)
Literature
To order any literature item, call or write:
Motorola Semiconductor Products
Literature Distribution Center
P.O. Box 20912, Phoenix, Arizona 85036
(602) 994-6561

Order Number Description Order Number Description


Design Manuals Application Notes/Article Reprints
H4CDM/D H4C Seri.es CMOS Arrays AN1093/D Delay and TIming Methods for
H4CPDM/D H4CPlus Series CMOS Arrays CMOS ASICs
HDCDM/D HDC Series CMOS Arrays AN 1095/D Clock Distribution
MCA3ECUD MCA3 ECl Series Arrays AN1096/D Guidelines for USing the
MCA3ETlDM/D MCA3 ETl Series Arrays Mustang™ ATPG System
MC92005UM/D SBus Interface Controller AN1099/D Test Methodology for
HDC Series Arrays
Data Sheets
AN1500 JTAG Boundary Scan for
ETUD MCA3 ETl Series Macrocell Arrays H4C/H4CPlus Arrays
H4C/D Sub-micron H4C Series AN1502lD Embedded RAM/BIST
CMOS Arrays AN1508/D High Frequency Design Techs
H4CP/D H4CPlus Series CMOS Arrays & Guidelines for Bipolar
HDC/D HDC Series CMOS Arrays Gate Arrays
MCA2200ECUD MCA2200ECl Macrocell Array AN1509/D ASIC Clock Distribution
MCA10000ECUD MCA 1OOOOECl Macrocell Array USing Pll
MC92005/D Slave Interface Controller AN15121D TestPAS Primer
BrochureslSelector Guides/Misc. AN1514/D H4CPlus Series 3.3 VIS V Design
Considerations
SG367/D ASIC Product Overview
AR518/D Gate Arrays Simplify Translation
BR916/D Packaging Manual for ASIC Arrays
between High Speed Logic
BR931/D Symbols to Silicon (C_LAN)
Families
BR1400/D Open Architecture CAD System- AR5221D Ranking of Gate Array Vendors
OACS2.x
AR524/D ASIC Package Selection
BR1417/D Open Architecture CAD System -
OACS3.xM

ASIC Regional Design Centers - United States

California, San Jose Illinois, Chicago Massachusetts, Marlborough


(408) 749-{)510 (708) 490-9500 (508) 481-8100

ASIC Regional Design Centers - International

European Headquarters, England, Aylesbury, Bucks France, Velizy


Germany, Munich (0296) 395252 (01) 34635900
(089) 92103-{)
Holland, Eindhoven Hong Kong, Silicon Harbour, Tai Po
(04998) 61211 (852) 666-8333
Japan, Tokyo Sweden, Stockholm Italy, Milan
(03) 440-3311 (08) 734-8800 (02) 82201

Appiication Specific Intsgiat6d Ciicuits (AS!Cs) 1.1-8 Motorola Master Selection Guide
Microcomputer Components

In Brief ...
Motorola continues to be a leading supplier of components Page
Digital Signal Processors ....................... . 2.1-1
for microcomputer systems. The product portfolio includes
The M68000 Family ........................... . 2.2-1
digital signal processors; CISC and RISC and PowerPC
The M88000 RISC Family ...................... . 2.3-1
advanced microprocessors and complementary fulHunction
The PowerPC RISC Family Microprocessors ...... . 2.4--1
peripherals; a comprehensive selection of high-performance
Single-Ghip Microcontrollers (CSIC) ............. . 2.5-1
microcontrollers; VLSI functions for Local Operating Network
Single-Chip Microcontrollers (AMCU) ........... . 2.6--1
applications; and a broad range of fast static RAM and dynamic
LonWorks Products ............................ . 2.7-1
RAM chips and modules.
Memory Products ............................. . 2.8-1
Our commitment is to provide state-of-the-art devices
as well as continuing support of established products, with
six-sigma quality and total customer satisfaction.

Motorola Master Selection Guide 2.0-1 Microcomputer Components


Micfucumputsi Components 2.0-2 Motorola Master Selection Guide
Digital Signal Processors

In Brief ...
Drawing on both design excellence and expertise in Page
manufacturing, Motorola has created a range of DSP56100 - 16-Bit Digital Signal Processors 2.1-2
architecturally compatible Digital Signal Processing chips. DSP56800 - 16-Bit Digital Signal Processors 2.1-3
The philosophy behind the DSP families has been to create DSP56000 - 24-Bit Digital Signal Processors 2.1-3
compatibility between products as well as conformance to DSP56300 - 24-Bit Digital Signal Processors 2.1-6
international standards. DSP96002 - 32-Bit Digital Signal Processors 2.1-9
Motorola offers a complete portfolio of 16- and 24-bit DSP56ADC16 - The Analog-To-Digital
fixed point and 32-bit floating point DSPs. Converter ................................ . 2.1-10
In addition, we offer a comprehensive array of DSP Development Tools ....................... . 2.1-10
development tools to give the designer access to the full Application Development Systems ........... . 2.1-10
power and versatility of the DSPs with minimum fuss. All the Graphical User Interface .................... . 2.1-12
tools were designed for ease of use and functionality. They DSP Development Software ................... . 2.1-12
provide a low-cost means of evaluation and greatly simplify Design-In Software Packages ............... . 2.1-12
the design and development phase of a DSP project. C-Compiler Packages ...................... . 2.1-13
C-Compiler Upgrades ...................... . 2.1-13

Motorola Master Selection Guide 2.1-1 Digital Signal Processors


16-/24-/32-Bit Families -Your Complete DSP Solution
DSP56100 - 16-Bit
Digital Signal Processors
The DSP56100 family of HCMOS, low-power, 16--bit DSP56156 BENCHMARKS
fixed-point general-purpose digital signal processors (DSP)
Benchmark Instruction Cycles
is ideal for high end speech coding, telecommunications and
control applications. The first DSP56100 family member, the Real FIR Filter with Data Shift 1 per Tap
DSP56156, combines the high-speed core with 8K bytes LMS Adaptive Real FIR Filter 2 per Tap
RAM, two serial ports, one parallel port, codec, phase-locked
Double Integration Sinewave Generation 2 per Sample
loop (PLL) and On-Chip Emulation (OnCETM). The
DSP56166, the second member of the DSP561 00 family, has Complex FIR Filter with Data Shift 4 per Tap
identical package and pinout to the DSP56156 with different General Lattice Filter 4 per Tap
memory configuration and peripherals.
Real Cascaded IIR Biquad 5 per Section
HG--H7 Host Filter Sections (4 coetl.)
or Control
PIDLoop 5
PortBIlO or
Port B 1/0 Double Precision Multiply 6
[1x3][3x3] Matrix Multiplication 21

DSP56100 Features
AG--A15 ,;.:==~ 28erial • Up to 30 Million Instructions per Second (MIPS) at 60
Interfaces MHz - 33.3 ns Instruction cycle
8810& • Single-cycle 16 x 16--bit parallel Multiply-Accumulate
DD-D15 '';;.=0.=-1
8811 • 2 x 4G-bit accumulators with extension byte
or • Fractional and integer arithmetic with support for
PortCl/O
multi precision arithmetic
• Highly parallel instruction set with unique DSP addressing
Tout} Timer or
Tin PortCl/O modes
Power • Nested hardware DO loops including infinite loops and
Ground DO zero loop
• Two instruction LMS adaptive filter loop
• Fast auto-return interrupts
1«1"'
~~~ 1°1>-[l3CIOci< ou;ae::
~~QICl • Three external interrupt request pins
< '" ° e:: and jou;
888 PLL ~Cl
:::; :::; :::; '---v---J
• Three 16--bit internal data and three 16-bit internal
address buses
'---v---J • Individual programmable wait states on the external bus
Interrupt and OnCETM
Mode Control for program, data, and peripheral memory spaces
• Off-chip memory-mapped peripheral space with
programmable access time and separate peripheral
PART NUMBERS
enable pin
Part Description • On-chip memory-mapped peripheral registers
XC56156FV40 40 MHz in TQFP • Low Power Wait and Stop modes
• On-Chip Emulation(OnCE) for unobtrusive, processor
XC56156FV50 50 MHz in TQFP speed independent debugging
XC56156FE60 60 MHz in CQFP • Operating frequency down to DC
XC56166FV60 60 MHz in TQFP • 5 V single power supply
• Low Power (HCMOS)

Digital Signal Processors 2.1-2 Motorola Master Selection Guide


DSP56800 - 16-Bit Digital Signal Processors
The DSP56800 core family is the first architecture DSP56800 Features
designed to enable digital signal processing and embedded • 20 MIPS at 40 MHz
microcontroller functionality. This multi-functional approach • 3.3 Volts
supports applications requiring both signal processing and • Three 16-bit Timers
control functionality, such as wireless messaging, digital • Two Serial Peripheral Interfaces (SPls)
answering machines, feature phones and low-cost wireline • Serial Synchronous Interface (SSI)
modems. • JTAG OnCPM Port
The first two DSP56800 family members, the DSP56L811 • Phase-Locked Loop
and DSP56L812 are identical except for memory • 16 - 32 general purpose input/output pins. (16 dedicated
configuration. The DSP56L811 contains 1K of program RAM and 16 shared with peripherals)
and 2K of data RAM. The DSP56L812 features 22K of • External bus interface to allow for additional memory
program ROM, 2K of data ROM and 2K of data RAM. • Support for high-level C and C++ programming
languages
• Streamlined instruction set featuring frequently used DSP
and microcontroller codes, as well as control extensions
PART NUMBERS (4Q '96 Availability)
On-Chip
Expansion Part Description
XC56L811 BU40 40 MHz in TOFP
XC56L812BU40 40 MHz in TOFP

DSP56000 - 24-Bit Digital Signal Processors


The DSP56000 Family of 24-bit, fixed-point, of portable applications up to three times longer than 5 volt
general-purpose digital signal processors is Motorola's systems.
original DSP family and has set the standard for high end DSP
devices with its triple Harvard architecture of seven internal
buses and three parallel execution units - Data ALU,
Address Generation Unit, and Program Controller. Motorola
has retained architectural compatibility with the 24-bit family
into the 16-bit DSP56100 and 32-bit DSP96002 products
helping to preserve our customer software investment.
The DSP56000 Family of HCMOS, 24-bit DSP devices
consists of the DSP56002, DSP56L002, DSP56004,
DSP56005, DSP56007, DSP56L007, DSP56009 and the
transitional DSP56001A. All these products are source code
compatible and are used extenSively in telecommunications,
control and audio applications. The DSP56000 Family's
unique 24-bit architecture has made these products the
industry standard for CD-quality digital audio processing.
The DSP56L002 and DSP56L007 low-voltage devices
operate at 3.3 volts which effectively extends the battery life

Motorola Master Selection Guide 2.1-3 Digital Signal Processors


DSP56002 BENCHMARKS
Benchmark Instruction Cycles
Real FIR Filter with Data Shift 1 per Tap
Two Dimensional Convolution 1 per Output
(3x3 coell. mask)
LMS Adaptive Real FIR Filter 3 per Tap
Real Cascaded IIR Biquad 4 per Section
Filter Sections (4 coell.)
Complex FIR Filter with Data Shift 4 per Tap
[1x3][3x3] Matrix Multiplication 17
Division 28
Leroux-Gueguen LPC Analysis:
8th Order 473
10th Order 622
16th Order 1203

Digital Signal Processors 2.1-4 Motorola Master Selection Guide


DSP56000 - 24-Bit Digital Signal Processors (continued)

HO-H70r Host Control or • Double buffered peripherals


PortBllO PortBllO • Power-saving Wait and Stop modes
DSP56002 F'eatures
• 512 x 24-bit on-chip program RAM and 64 x 24-bit
bootstrap ROM
• Two 256 x 24-bit on-Chip data RAMs
• Two 256 x 24-bit on--chip data ROMs containing sine,
AO-A15
A-law, and ~-Iaw tables
• External memory expansion with 16-bit address and
24-bit data buses
RXD} Note • Bootstrap loading from external data bus, Host Interface,
TXD
DSP56002 SCLK 2 or Serial Communications Interface

ig~}Note
• Byte-wide Host Interface (HI) with Direct Memory Access
(DMA) support
Bus
SCK 3 Synchronous Serial Interface (SSI) to communicate with
Control
SRD codecs and synchronous serial devices
STD - 8-, 12-, 16-, 24-bit word sizes
PINIT~Note
PLOCK
- Up to 32 software-selectable time slots in network
mode
CKP 4
- Serial Communication Interface (SCI) for full-duplex
L,-r-T"""'1r-r-r--r,...r-PCAP
asynchronous communications
I'"ol~-IF-~ i:!:-'-' 't!:.$l-;ff'
1«o~~g~~>< -'Cl (/) - 24-bit Timer/Event Counter also generates and
measures digital waveforms
880 - Up to 25 general-purpose 110 (GPIO) pins
~ - Three external interrupt request pins; one
Interrupt and Mode Control non-maskable
NOTES: - 3.3 V (DSP56L002) and 5 V (DSP56002) power
1. On-Chip Emulator Port (OnCE'M) supply options
2. SCI Serial or Port CliO
3. SSI Serial or Port CliO DSP56004/DSP56007 Features
4. Phase-Locked Loop
• Serial Audio Interface (SAl) includes 2 receivers and
DSP56000 Family Features 3 transmitters, master or slave capability, and
implementation of 12 S, Sony, and Matshushita audio
• On--chip Harvard architecture permitting simultaneous
protocols; two sets of SAl interrupt vectors
accesses to program and two data memories
• Serial Host Interface (SHI) features single master
• Two 56-bit accumulators including extension byte
capability, lo-word receive FIFO, and support for 8-,
• Parallel 24 x 24-bit multiply-accumulate in 1 instruction
16-, and 24-bit words
cycle (2 clock cycles)
• External Memory Interface (EMI) peripheral providing
• Double precision 48 x 48-bit multiply with 96-bit result in
glueless connection to DRAM, SRAM, and/or EPROM for
6 instruction cycles
audio delay buffering
• 56-bit addition/subtraction in 1 instruction cycle
• Four dedicated, independent, programmable General
• Fractional arithmetic with support for multiprecision
Purpose 110 (GPIO) lines
arithmetic
• DSP56004 memory: 512 words PRAM, 2 x 256 words
• Hardware support for block-floating point FFT
data RAM, 2 x 256 words data ROM
• Hardware nested DO loops
• DSP56007 memory: 6400 words PROM, 3200 words
• Zero-overhead fast interrupts (2 instruction cycles)
data RAM, 1024 words data ROM
• On-Chip Emulation (OnCE) port for unobtrusive,
• 3.3 V power supply option available (DSP56L007)
processor speed-independent debugging
• Bootstrap loading via 12 C, SPI, or byte-wide memory
• Software-programmable, Phase-Locked Loop (PLL)
modes available
based frequency synthesizer for the core clock
• Up to 25 general-purpose 1/0 (GPIO) pins
• On-chip peripheral registers memory mapped in data
memory space

Motorola Master Selection Guide 2.1-5 Digital Signal Processors


DSP56000 - 24-Bit Digital Signal Processors (continued)
DSP56005 Features • Bootstrap loading from Serial Host Interface or External
• Five Pulse Width Modulators (PWM) Memory Interface
• 24-bit timer/event counter also generates and measures 'These ROMs may be factory programmed with
digital waveforms data/program provided by the application developer.
- Three with alternate outputs; two with open drain or
TTL outputs PART NUMBERS
- 9-bit to 16-bit data width Part Description
- Alternate outputs independently selectable as active
XC56001ARC27 Transitional Device. DSP56002 recommended
high or active low for new designs
• 16-bit Watchdog tirner
XC56001ARC33 Transitional Device. DSP56002 recommended
• 4608 x 24-bit on-Chip program RAM and 96 x 24-bit
for new designs
bootstrap ROM
• Two 256 x 24-bit on-chip data RAMs XC56001AFE27 Transitional Device. DSP56002 recommended
for new designs
• Two 256 x 24-bit on-chip data ROMs containing sine and
arc-tangent tables XC56001AFE33 Transitional Device. DSP56002 recommended
for new designs
• External memory expansion with 16-bit address and
24-bit data buses XC56001AFC27 Transitional Device. DSP56002 recommended
for new designs
• Bootstrap loading from external data bus, Host Interface,
or Serial Communications Interface XC56001AFC33 Transitional Device. DSP56002 recommended
for new designs
DSP56009 Features DSP56002RC40 40 MHz RAM-based in 132-pin PGA
The memory configurations available differentiate this DSP DSP56002FC40 40 MHz RAM-based in 132-pin PQFP
from the other family members. The DSP core is fed by a large DSP56002FC66 66 MHz RAM-based in 132-pin PQFP
program ROM, two independent data RAMs, two data ROMs, XC56002PV40 40 MHz RAM-based in 144-pin TQFP
a Serial Audio Interface, Serial Host Interface, External
XC56002PV66 66 MHz RAM-based in 144-pin TQFP
Memory Interface, dedicated 1/0 lines, on-chip
Phase-Locked Loop (PLL), and On-Chip Emulation XCP56002PV80 80 MHz RAM-based in 144-pin TQFP
(OnCEm) port. DSP56L002FC40 Low power 40 MHz RAM-based i~ 132-pin
• Completely pin compatible with DSP56004 and PQFP
DSP56007 for easy upgrades XC56L002PV40 Low power 40 MHz RAM-based in 144-pin
• 5 V power supply TQFP
• On-chip Harvard architecture permitting simultaneous XC56004FJ50 50 MHz RAM-based in 8O-pin QFP
accesses to program and two data memories XC56004FJ66 66 MHz RAM-based in 80-pin QFP
• 10240 x 24-bit on-chip program ROM'
XC56005PV50 50 MHz RAM-based in 144-pin TQFP
• 4608 x 24-bit on-chip X-data RAM and 3072 x 24-bit
XC56007FJ50 50 MHz ROM-based in 80-pin QFP
on-chip X-data ROM'
• 4352 x 24-bit on-chip Y-data RAM and 1792 x 24-bit XC56007FJ66 66 MHz ROM-based in 80-pin QFP
on-chip Y-data ROM' XC56L007FJ40 Low-power 40 MHz ROM-based in 80-pin
• 512 x 24-bit on-chip program RAM and 64 x 24-bit QFP
bootstrap ROM
XC56009PV80 80 MHz ROM-based in 80-pin QFP
• Up to 2304 x 24-bit from X and V data RAM can be
switched to program RAM giving a total of 2816 x 24-bits
of program RAM

DSP56300 - 24-Bit Digital Signal Processors


The first programmable Motorola DSP product to provide Several significant architectural enhancements include a
a true single clock-cycle execution, the DSP56300 core barrel shifter, 24-bit addressing, instruction cache and DMA
effectively doubles the number of instructions executed functionality. The DSP56301 offers 66/80 MIPS using an
without increasing clock speed, providing 80 MIPS of internal 66/80 MHz clock at 3.0 - 3.6 V.
performance at 80 MHz while retaining code compatibility with DSP56301 Features
the rest of the Motorola DSP offerings. The DSP56300 family
• 66/80 MIPS with a 66180 MHz internal clock at 3.0 - 3.6
offers a new level of performance in MIPS, a rich instruction
volts
set and low power dissipation, enabling a new generation of
• Single clock per instruction execution
products in wireless, telecommunications, and multimedia.
• Code compatible with the DSP56000 family

Digital Signal Processors 2.1-6 Motorola Master Selection Guide


• Fully-static logic with operation to DC
• Wait, stop and intelligent power control circuitry powers
down unused memories, peripherals and core logic on
each individual instruction

Motorola Master Selection Guide 2.1-7 Digital Signal Processors


DSP56300 - 24-Bit Digital Signal Processors (continued)
• OnCE with added JTAG support for system debugging • Two Enhanced Serial Synchronous Interface modules
and testing • Three independent Timer modules
• On-chip PLL • Glueless interface to SRAM, Synchronous SRAM, DRAM
• ALU Enhancements over DSP56000 and memory mapped peripherals
- Fully pipelined barrel shifter supports bit stream • Off--<:hip expansion to 224 words for program, X, and Y
parsing and generation memory
- Conditional ALU instruction
-16-bit arithmetic supports cellular and videotelephony DSP56301 On-Chip Memories
standards • On--<:hip 2048 x 24-bit X data RAM
• Address Generation Unit Enhancements over DSP56000 • On-Chip 2048 x 24-bit Y data RAM
- 24-bit addressing provides 16M word addressing for • On--<:hip 3072 x 24-bit Program RAM
Program, X and Y memories • On-chip 1024 x 24-bit Instruction Cache/Program RAM
- Program Counter relative addressing improves • On-Chip 192 x 24 bit Bootstrap ROM
operating system and compiler efficiency
- Immediate offset addressing DSP56302 Features
• Program Controller Enhancements over DSP56000 • 8-bit parallel host port
- Hard stack extension in data memory allows unlimited • 34K words on--<:hip RAM
stack depth without programmer overhead • 144-pin QFP
- Support for instruction code
DSP56303 Features
• Direct Memory Access Unit
- 6 channel fully concurrent DMA supports 120 • Cost effective version of 56301
Mbytes/sec transfers at 80 MHz • 8-bit parallel host port
- Dedicated address and data buses support • 144-pin QFP
concurrent memory accesses
- Supports peripheral interrupts, internal and external PART NUMBERS
memory reads/writes
Part Description

DSP56301 PeripheralsJExternal Buses XC56301 PW66 66 MHz in 208 TQFP


• Modular peripheral and memory design XC56302PV60 60 MHz in 144-pin QFP
• Glueless interface to PCI, ISA, and other DSP56301 XC56303PV66 66 MHz in 144-pin QFP
buses
• One Serial Communication Interface module

Digital Signal Processors 2.1-8 Motorola Master Selection Guide


DSP96002 - 32-Bit Digital Signal Processors
The DSP96002 has full architecture compatibility with the DSP96002 BENCHMARKS
16-bit DSP56100 and 24-bit DSP56000 Families. The
Benchmark Instruction Cycles
DSP96002 is the first in a family of 32-bit IEEE floating-point
DSP devices. The DSP96002 has two identical memory Real
expansion ports simplifying network configurations for FIR Filter with Data Shift 1 per Tap
multiprocessor and DSP96002 communications. These ports V=V'S + V 2
interface to SRAM, DRAM (operating in their fast access Lattice Filter with Data Shift 3 per Tap
Cascaded IIR Biquad Filter 4 per Section
modes), video RAM or directly to other processors with host
Sections (4 coel!.)
interface logic.
1024-pOint FFT and bit reversal 12880
Although designed primarily for image processing, other
proven applications include communications, spectrum Complex
analysis, instrumentation, speech processing and pattern V=V'V+V 4
recognition. FIR Filter with Data Shift 4 per Tap
1024-point FFT and bit reversal 20931
Interrupt and Graphics/Image Processing
OnCE'M Mode Control Divide (32-bit accuracy) 7
~ Square Root (32-bit accuracy) 12

~
(/) ~
I~I~I~
\..... ;;;: C3 <3
Bezier Cubic Evaluation for
Font Compilation
13

oQ () ~Cl 0 0
en Ci5 wla:::
Cl Cl Cl Cl
wOO 0
a::2:2:2
[4x4][4x4] = [4x4] 67

DSP96002 Features
• DSP96000 family architecture
- Full IEEE Standard 754 compatible for 32-bit (SP)
and 44-bit (SEP) arithmetic
- 20 MIPS, 50 ns instruction cycle at 40 MHz
- 60 million floating-point operations per second
(MFLOPS) at 40 MHz
14 14 - Single cycle 32 x 32 --7 96-bit multiply/accumulate
Port A Control PortB Control - Ten 96-bit general-purpose data registers
- Zero-overhead nested DO loops
3
- Two instruction--<:ycle fast interrupts
PortA Host PortB Host - Low-power Wait and Stop Modes
Interface Interlace - On-Chip Emulation for unobtrusive, fuli-speed
and Control and Control debugging
""
--'
0 - 4K byte instruction cache
- Integer mode available
- Single precision mode available
- Timer/Event Counter
• DSP96002 peripherals
PART NUMBERS - Two 32-bit address and data host ports
- Dual channel DMA controller
Part Description
• DSP96002 memories
XC96002RC33 33 MHz in PGA - 1024 x 32 program RAM
XC96002RC40 40MHzin PGA - 2 x 512 x 32 data RAM
- 2 x 512 x 32 data ROM (sine and cosine tables)

Motorola Master Selection Guide 2.1-9 Digital Signal Processors


DSP56ADC16 - The Analog-To-Digital Converter
The DSP56ADC16 is a single--chip, linear • Maximum output sample rates:
analog-to--digital (AID) converter. It is an ideal choice for - FIR filter-l00 kHz
high-performance digital audio systems, voiclrbandwidth - Comb filter - 400 kHz
communication and control applications. It does not require • Maximum input sample rate is 6.4 MHz
antialiasing filters and sample-and-hold circuitry because they • Maximum internal clock rate is 12.8 MHz
are an inherent part of the sigma-delta technology. The • DC stability is lO-bits
DSP56ADC16 can be easily interfaced to the DSP56001 and • Supply voltage is single +5V (±10%)
other host processors using its flexible serial interface. • Supply current is <100 mA
• Linear-phase imalog front end and internal digital filters
Key Features • Simple serial interface to host microprocessors
• 16-bit output resolution at 100 kHz from FI R filter • Fully differential inputs
• 12-bit output resolution at 400 kHz from Comb filter PART NUMBERS
• 96-dB dynamic range
• 9O-dB signal-to-THD ratio Part Description
• 9O-dB signal-to-noise ratio DSP56ADC16S 16...iJit in Ceramic DIP
• In-band ripple: <0.001 dB

DSP Development Tools


Application Development Systems
Every member of the Motorola Family of 16-, 24- and • Hexadecimal/decimal/binary calculator
32-bit DSPs is supported by a multi-component Application • Multiple inpuVoutput file access from DSP object
Development System (ADS) which acts as a tool for programs
designing, debugging and evaluating real-time DSP target • On-line help screens for each command and register
system equipment. The ADS simplifies evaluation of the Hardware-
user's prototype hardware/software product by making all of • Full speed operation
the essential timing and I/O circuitry easily accessible. Using • Multiple ADM support with programmable ADM
an IBM PCTM, Macintosh™ II, a Sun-4™, or Hewlett-Packard addressing
Series 700 as a medium between the user and the DSP • Stand-alone operation of ADM after initial development
hardware significantly reduces the overall complexity and cost
DSP56156ADS Features
of development while increasing the capabilities of the
system. With the ADS, DSP programs can be executed in • System commands from within ADS user
real-time, single instruction traced or multiple instruction interface program
stepped with registers and/or memory block contents • 16K words of configurable static RAM expandable
displayed. The ADS is fully compatible with the CLAS to 64Kwords
design-in software package for each product and may act as DSP56002ADS Features
an accelerator for testing DSP algorithms. • Host operating system commands from within ADS user
All Application Development Systems offer an On-Chip interface program
Emulation (OnCETM) circuit for unobtrusive, processor speed • 8K132K words of configurable RAM for DSP56002 code
independent debugging. The ADS takes full advantage of this development
circuit to allow the user non-intrusive control of the target. • 96-pin euro-card connector for accessing all
General ADS Features DSP56000/1 pins
Soitware- • 1K words of monitor ROM expandable to 4K words
• Single/multiple stepping through DSP object programs • Separate connectors for accessing serial or
• Conditional/unconditional software and hardware hosVDMA ports
breakpOints DSP96000ADS Features
• Program patching using a single-line • System commands from within ADS user interface
assembler/disassembler program
• Session and/or command logging for later reference • 128K words of configurable static RAM expandable to
• Loading and saving of files to/from ADM memory 512Kwords
• Macro command definition and execution • 2K words of EPROM with sockets expandable to 64K
• Display enable/disable of registers and memory words
• Debug commands which support multiple DSP • Full support of multiple data memory maps
development

Digital Signal Processors 2.1-10 Motorola Master Selection Guide


• Two sets of 96-pin connectors provide access to all
DSP96002 pins
• 2K words of EPROM with sockets expandable
to 16K words

Motorola Master Selection Guide 2.1-11 Digital Signal Processors


DSP Development Tools (continued) Graphical User Interface
For DSP Application Development
PART NUMBERS
Systems and Simulators
Development Host Machine
Systems A number of Motorola's DSP development systems and
DSP56100ADSA' IBM PC simulators come with graphical user interface software to
DSP56100ADSB ease working on applications based on our product families.
Macintosh II
DSP56100ADSF' Sun-4
User Friendly
DSP56100ADSH' Hewlett-Packard Series 700
• GUI works native to three operation systems
DSP96000ADSA • IBM PC -SunOS
DSP96000ADSB Macintosh II - Windows 3.1
DSP96000ADSF' Sun-4 -HPUX
DSP96000ADSH • Hewlett-Packard Series 700 • Multiple overlapping windows for the display of debugging
information, command input registers, memory, and
DSP56002ADSA • IBM PC
programs
DSP56002ADSB Macintosh II
• Pull down menus for ease of use
DSP56002ADSF • Sun-4 - Dialog boxes for selecting options of complex
DSP56002ADSH • Hewlett-Packard Series 700 commands
DSP56004ADSA • IBM PC - Tool bar will provide fast access to commonly
DSP56004ADSB Macintosh II performed actions
- Keyboard accelerators will be defined for commonly
DSP56004ADSF • Sun-4
executed commands
DSP56004ADSH • Hewlett-Packard Series 700 - Help viewer will be provided for viewing pre-defined
DSP56005ADSA * IBM PC help on selected topics
DSP56005ADSB Macintosh II
Debugging Capabilities for C Language and Assembly
DSP56005ADSF • Sun-4
DSP56005ADSH • Hewlett-Packard Series 700 • Assembly language symbolic or C Language source code
debugging capabilities
DSP56005ADPTR Adapter Board
DSP56301ADSA' IBM PC
DSP56301ADSF' Sun-4
DSP Development Software
DSP56301 ADSH • Hewletl-Packard Series 700
Design-In Software Packages
DSP56002ADM ADM Board for 56002
DSP56004ADM ADM Board for 56004 The SimulatorlMacro-Assembler/Linker/Librarian software
package is a development system support tool. The Simulator
DSP56156ADM ADM Board for 56156
program imitates the operation of the DSP on a clock-cycle by
DSP56166ADM ADM Board for 56166 clock-cycle basis and gives an accurate measurement of
DSP96000ADM ADM Board for 96000 code execution time. All on-chip peripheral operations,
DSPPCHOST* PC compatible host board and interface memory and register updates and exception processing
software activities may be functionally simulated.
DSPMACHOST Macintosh II host board and interface The full-featured Macro Cross Assembler translates one
software or more source files containing instruction mnemonics,
DSPSUN4HOST * Sun-4 host board and interface software operands, and assembler directives into a Common Object
File Format (COFF) file which is directly loadable by the
DSPCOMMAND 16-,24-, 32-bit Command Converter
Simulator. It supports the full instruction set, memory spaces,
board and software
and parallel transfer fields of the DSP.
DSP56002EVM Evaluation board and software for The Linker relocates and links relocatable COFF object
DSP56002 modules from the Assembler to create an absolute load file
DSP56007EVM Evaluation board and software for which can be loaded directly into the Simulator. The Librarian
DSP56007 utility will merge separate, relocatable object modules into a
single file allowing frequently used modules to be grouped for
DSP56009EVM Evaluation board and software for
convenient linking and storing.
DSP56009
The assembler and linker now provide support for
- 'Supported by Graphical User Interface assembly language source-level debugging via the simulator.
Global symbols, symbols local to sections, and even
underscore labels may be referenced with all scoping

Digital Signal Processors 2.1-12 Motorola Master Selection Guide


constructs intact. In addition, the assembler generates PART NUMBERS
information about included files and macros. The assembler
and linker also support numbered counters ranging from 0 to
IGNU C Compiler IHost Machine
65535. DSP56100 Family
PART NUMBERS DSP561CCCA IBM PC

Simulator/Assembler/ DSP561CCCF Sun-4


Linker/Library Host Machine DSP561CCCH Hewlett-Packard Series 700
DSP56100CLASA' IBM PC
DSP56000 Family
DSP56100CLASB Macintosh II (consult factory)
DSP56KCCA IBM PC
DSP56100CLASF' Sun-4
DSP56KCCF Sun-4
DSP56100CLASH' Hewlett-Packard Series 700
DSP56000CLASA • DSP56KCCH Hewlett-Packard Series 700
IBM PC
DSP56000CLASB Macintosh II (consult factory) DSP53000 Family

DSP56000CLASF * Sun-4 DSP563CCA IBM PC


DSP56000CLASH * Hewlett-Packard Series 700 DSP563CCF Sun-4
DSP56300CLASA * IBM PC DSP563CCH Hewlett-Packard Series 700
DSP56300CLASF * Sun-4
DSP96000 Family
DSP56300CLASH * Hewlett-Packard Series 700
DSP96KCCA IBM PC
DSP96000CLASA * IBM PC
DSP96KCCF Sun-4
DSP96000CLASB Macintosh II (consult factory)
DSP96KCCH Hewlett-Packard Series 700
DSP96000CLASF * Sun-4
DSP96000CLASH * Hewlett-Packard Series 700

- 'Supported by Graphical User Interface


C-Compiler Upgrades
Registered users of the earlier versions of the Motorola
OSP C compiler can upgrade to the latest GNU C compiler for
C-Compiler Packages $120. To order, contact a Motorola sales representative or
A full ANSI C compliant compiler, based on GNU distributor. Have your registration number ready.
technology, provides higher efficiency and implements more
PART NUMBERS
than 20 major optimization techniques. It has improved in-line
assembly capability and an ANSI C preprocessor. The IGNU C Compiler IHost Machine
package includes the C Compiler, a new COFF Assembler, DSP56000 Family
Linker, complete ANSI C Libraries, and a new C source level
debugger as well as expanded user's reference manual. The I DSP56KCCAJ I IBM PC
software package is available for various host computers DSP56KCCFJ Sun-4
listed.

Motorola Master Selection Guide 2.1-13 Digital Signal Processors


Digital Signal Processors 2.1-14 Motorola Master Selection Guide
The M68000 Family
.. . the Upward Compatible 8-/16-/32-Bit Microprocessor Family

In Brief ...
An MPU For All Functions Page
Microprocessors ............................... 2.2-2
To designers of the most advanced microcomputer
Embedded Controllers .......................... 2.2-5
systems, the Motorola M68000 Family of microprocessors
Integrated Processors. . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-7
needs no introduction. Products based on its members have
Coprocessors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-9
become the standard for systems utilizing the UNIX
DMA Controllers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.2-9
operating system and for CAD/CAM engineering
Network Devices ............................... 2.2-9
workstations. They are invading the next generation designs
Data Communication Devices . . . . . . . . . . . . . . . . . .. 2.2-10
of personal computers and color graphics systems, and they
General Purpose 110 ........................... 2.2-11
find widespread implementation in multi-user/multi-tasking
Fiber Distributed Data Interface ................. 2.2-11
applications and in small business systems. M68000 MPUs
Development Tools ............................ 2.2-12
are found in the leading products in fault-tolerant systems
Support Software .. . .. .. . .. .. . .. .. . .. . .. . .. . ... 2.2-12
requiring high performance and parallel processing, and
they are the preferred components for artificial intelligence
engines requiring large linear addressing capabilities.
Control applications include graphics, numerical controllers,
robotics, telecommunications switching and PBX voice/data
transmission.
Upward Compatibility
The M68000 MPU Family consists of a line of processors
based on a 32-bit flexible register set, a large linear address
space, a simple yet powerful instruction set and flexible
addreSSing modes. The intemal architecture of the 8-, 16-, and
32-bit MPU versions, and the common instruction set, provide
software compatibility and offer an easy upward migration path
for products requiring increasing levels of processing power.
A Host of Peripherals
A large selection of full--function peripheral chips
complements the processor family. Compatible LSI and VLSI
chips for memory management, data communications, DMA
control, network control, system interfacing, general 110 and
graphics, all simplify system design and reduce design and
manufacturing cost while improving system performance.

Motorola Master Selection Guide 2.2-1 The M68000 Family


Microprocessors
The 68K Family of Microprocessors has revolutionized virtually every segment of the electronic industry. They have set the
standard for performance while still maintaining binary software compatibility from generation to generation. The combination of
low cost and high performance (measured in $/system MIPS) makes every member of the FamiJy a price performance leader. The
M68000 Family provides the widest range of price and performance with choices from 1.6 MIPS to over 100 MIPS.
Table 1.
68000 68020 68030 68040 68060
MIPS 1.6 5.5 12 35 100

MFLOPS - 0.25 0.5 3.5 15


Address Range 16M Byte 4G Byte 4G Byte 4G Byte 4G Byte
Data Bus 16 bit 32 bit 32 bit 32 bit 32 bit
Clock Speed (MHz) 8-16 16-33 16-50 25-40 50-66
Instruction Cache - 256 Byte 256 Byte 4K Byte 8K
Data Cache - - 256 Byte 4K Byte 8K
Burst Mode - - 16 Byte R 16 Byte RIW 16 Byte RIW

General Purpose Registers 16 16 16 16 16


Address Modes 14 18 18 18 18
On-Chip MMU No No Yes Yes' Yes'
Floating-Point Solution 68881 68882 68882 On-Chip On-Chip

*Separate Instruction/Data

INTEGER UNIT
-
INSTRUCTION FETCH CONTROLLER
r--- /'-- IA
BRANCH~ GENERATE
INSTRUCTION
I INSTRUCTION
ATC
INSTRUCTION
CACHE
I
CACHE - - - , / INSTRUCTION
FETCH
'--- EARLY
DECODE
fl" fl" ADDRESS

INSTRUCTION )

INSTRUCTION
{} I CACHE CONTROLLER
I B
U
BUFFER S

C
"'7 V 0 DATA
N ADDRESS
DECODE DECODE
FLOATING- T
POINT
UNIT
EA
EA
GENERATE
EA
EA
GENERATE
EA
<= DATA
R
0

FETCH FETCH FETCH I CACHE CONTROLLER


I L
L
FP
EXECUTE
INT
EXECUTE
INT
EXECUTE =:> V
DATA
DDATA
E
R

~
INSTRUCTION EXECUTION CONTROLLER

U U
I ATC CACHE I CONTROL

)
DATA AVAILABLE
WRITE-BACK I

II OPERAND END BUS


'---
Figure 1. MC68060 Block Diagram

The M68000 Family 2.2-2 Motorola Master Selection Guide


controller activity. Additionally, the integer unit is optimized for
MC68060 high-level language environments. The MC68040 is
Superscalar 32-Bit Microprocessor user-object--code compatible with previous members of the
M68000 Family and is specifically optimized to reduce the
The MC68060 is fully compatible with all previous
members of the M68000 family. The MC68060 features dual execution time of compiler-generated code. The MC68040 is
implemented in Motorola's latest HCMOS technology,
on--chip caches, fully independent demand-paged memory
providing an ideal balance between speed, power, and
management units (MMUs) for both instructions and data,
physical device size.
dual integer execution pipelines, on--chip floating-point unit
Instruction execution is pipelined in both the integer unit
(FPU) and a branch target cache. A high degree of instruction
execution parallelism is achieved through the use of a full and FPU. Independent data and instruction MMUs control the
internal Harvard architecture, multiple internal buses, main caches and the address translation caches (ATCs). The
independent execution units, and dual instruction issue within ATCs speed up logical-to-physical address translations by
the instruction controller. Power management is also a key storing recently used translations. The bus snooper circuit
part of the MC68060 architecture. The MC68060 offers a ensures cache coherency in multimaster and multiprocessing
low-power mode of operation that is accessed through the applications. The MC68040 FPU is user-object--code
LPSTOP instruction, allowing for full power-down capability. compatible with the MC68882 floating-point coprocessor.
The MC68060 design is fully static so that when circuits are The FPU has been optimized to execute the most commonly
not in use, they do not draw power. Each unit can be disabled used subset of the MC68882 instruction set, and includes
so that power is used only when the unit is enabled and additional instruction formats for single- and
executing an instruction. double-precision rounding of results.
Complete code compatibility with the M68000 family allows The MMUs support multiprocessing, virtual memory
the designer to draw on existing code and past experience to systems by translating logical addresses to physical
bring products to market quickly. There is also a broad base addresses using translation tables stored in memory. Each
of established development tools, including real-time kernels, MMU has two transparent translation registers available that
operating systems, languages and applications, to assist in define a one-to-one mapping for address space segments
product design. The functionality provided by the MC68060 ranging in size from 16 Mbytes to 4 Gbytes each. The
makes it the ideal choice for a range of high-performance instruction and data caches operate independently from the
computing applications as well as many portable applications rest of the machine, storing information for fast access by the
that require low power and high performance. execution units. Each cache resides on its own internal
address bus and internal data bus, allowing simultaneous
MC68040 access to both. The data cache provides writethrough or
Third-Generation 32-Bit copyback write modes that can be configured on a
page-by-page basis.
Microprocessor The MC68040 bus controller supports a high-speed,
The MC68040 is Motorola's third generation of M680OQ- nonmultiplexed, synchronous external bus interface, which
compatible, high-performance, 32-bit microprocessors. The allows the following transfer sizes: byte, word (2 bytes), long
MC68040 is a virtual memory microprocessor employing word (4 bytes), and line (16 bytes). Line accesses are
multiple, concurrent execution units and a highly integrated performed using burst transfers for both reads and writes to
architecture to provide very high performance in a monolithic provide high data transfer rates.
HCMOS device. On a single chip, the MC68040 integrates an
MC6803O--compatible integer unit, an IEEE 754--compatible MC68030
floating-point unit (FPU), and fully independent instruction
and data demand-paged memory management units
The Second Generation 32-Bit MPU
(MMUs), including independent 4K-byte instruction and data The 030 started with a high performance 020 core and
caches. A high degree of instruction execution parallelism is added many performance improvement features including
achieved through the use of multiple independent execution increased internal parallelism, dual on--chip caches with a
pipelines, multiple internal buses, and a full internal Harvard burst fillable mode, dual internal data and address buses,
architecture, including separate physical caches for both improved bus interface, and on--chip paged memory
instruction and data accesses. The MC68040 also directly management unit.
supports cache coherency in multi master applications with Two independent 32-bit address buses and two 32-bit
dedicated on-chip bus snooping logiC. data buses allow the CPU, caches, MMU, and the bus
The MC68040 is an enhanced, 32-bit, HCMOS controller to operate in parallel, so the 030 can, for example,
microprocessor that combines the integer unit processing simultaneously access an instruction from the instruction
capabilities of the MC68030 microprocessor with independent cache, data from the data cache and instruction/data from
4K-byte data and instruction caches and an on--chip FPU. external memory.
The MC68040 maintains the 32-bit registers available with Performance is further enhanced by on--chip instruction
the entire M68000 Family as well as the 32-bit address and and data caches. Separate 256-byte data and instruction
data paths, rich instruction set, and versatile addressing caches reduce the access time and increase CPU throughput
modes. Instruction execution proceeds in parallel with by providing data and instructions on--chip.
accesses to the internal caches, MMU operations, and bus

Motorola Master Selection Guide 2.2-3 The M68000 Family


MC68030 (continued)

Overall bus requirements are reduced and multiple


processors can run more efficiently thanks to increased
MC68HC001
bandwidth of the 030 bus, achieved by the enhanced bus Low Power HCMOS 8-/16-/32-Bit
controller allowing high speed fills of both data and instruction
caches. Microprocessor
The on-chip paged memory management unit translates The MC68HC001 provides a functional extension of the
logical address to the corresponding physical address in 1/2
MC68HCOOO HCMOS 16-/32-bit microprocessor with the
the time required by the 020 and MC68851 Paged Memory
addition of statically selectable 8- or 16-bit data bus
Management Unit. Pipelining permits this translation to be
performed in parallel with other functions so that no translation operation. The MC68HC001 is object-code compatible with
time is added to any bus cycle. the MC68HCOOO, and code written for the MC68HC001 can
be migrated without modification to any member of the
MC68020 M68000 Family. This is possible because the user
programming model is identical for all members olthe M68000
The Original 32-Bit Performance Family and the instruction sets are proper subsets for the
Standard complete architecture.
The MC68020, oh twenty, is the industry's leading 32-bit
microprocessor because of high performance, architecture, MC68000
ease of design-in, and long-range compatible growth path.
The 020 has a full 32-bit internal and 32-bit external, The 16-Bit Foundations
regular, symmetrical architecture designed with the customer
in mind. It offers all the functionality of the other M68000 As the first member of the M68000 family, the state-
Family MPUs, and maintains software user-code of-the-art technology and advance circuit design concepts
compatibility which controls the expense of your product of the MC68000 16-bit MPU started a new trend in
migration. microprocessor architecture. Its seventeen 32-bit data and
Programmers appreciate the large general purpose address registers permit rapid internal execution of its
register set, simple yet powerful instruction set and the many powerful yet simple instruction set. It is designed for large
flexible M68000 addressing modes. The unique on-chip multiprocessing systems and realUme applications with
instruction cache helps provide burst-mode operation to 12.5 vectored interrupts, seven priority levels and a 16 megabyte
MIPS. linear addressing space. It offers mainframe--like performance,
The 020 is the proven leader in high performance systems supporting high-level languages and sophisticated operating
in office automation, engineering workstations, fault tolerant systems.
computers, parallel processors, telephone switching systems, The MC68000 MPU has been joined by more advanced
and intelligent controllers. products with even greater capabilities, yet it satisfies a large .
segment of the existing applications. It is extremely cost
MC68010 competitive and it remains one of the major growth products
A Virtual Memory Enhancement in the entire MPU line.
The MC68010 offers the advantage of Virtual Memory. A
high-speed loop mode operation executes tight software
loops faster to enhance performance. Its instruction
MC68008
continuation feature has made it the choice for fault-tolerant An 8-Bit Compatible Competitor
and parallel processing systems. The MC68010 can support
a governing operating system which handles the supervisory With an 8-bit data bus and 32-bit internal architecture, the
chores of any number of subordinate operating systems. MC68008 offers performance that competes with a number of
16-bit MPUs. It has the same register set, same instructions,
MC68HCOOO and the same functionality as the MC68000 with extensive
exception processing. Large modular programs can be
A Micropower Alternative developed and executed efficiently because of the large,
HCMOS design gives the MC68HCOOO all the functions 1-megabit non-segmented, linear address space. It is the
and performance of its MC68000 predecessors . . . at
choice for high performance, cost effective, 8-bit deSigns,
one-tenth of the operating power requirements. With a
particularly those requiring a migration path to 16-bit or full
maximum power dissipation of only 0.175 watts, the
32-bit operation.
MC68HCOOO is ideal for high-performance computer
peripherals, industrial controllers, instrumentation and
communications equipment.

The M68000 Family 2.2-4 Motorola Master Selection Guide


Embedded Controllers
The principle elements of this popular microprocessor family have now been redesigned specifically for embedded applications.
The new 68 ECOxO family including the 68ECOOO, EC020, EC030 and EC040 MPUs are all optimized for cost-sensitive embedded
control designs. The 68ECOxO family offers the high performance of the 680xO family, yet streamlines the feature sets for
embedded applications. The 68ECOxO family completes the triad forming the M68000 family of compatible products: the 680xO
family of computer-class central processing units; the 68300 family of integrated processors; and now, the 68ECOxO family of
embedded microprocessors.

Table 2.
68ECOOO 68EC02O 68EC030 68EC040

MIPS 2.5 6.5 10.7 29


Address Range 16M Byte 16M Byte 4G Byte 4G Byte
Data Bus 16 bit 32 bit 32 bit 32 bit
Clock Speeds 8,10,12,16 MHz 16,25 MHz 25,40 MHz 20, 25, 33* MHz
Instruction Cache - 256 Byte 256 Byte 4K Byte
Data Cache - - 256 Byte 4K Byte
Burst Fill Caches - - 16 Bytes 16 Bytes
General Purpose Registers 16 16 16 16
Address Modes 14 18 18 18
Floating Point Hardware 68881168882 68881168882 68881168882 68040
Packages PLCC PPGA, PQFP PPGA, CQFP PGA, CQFP*

* Available in the future

This impressive performance is a result of a six-level


MC68EC040 pipelined integer unit, independent four-way set-associative
32-Bit High-Performance instruction and data caches, and a very high level of on-chip
parallelism. The EC040 also supports multi master and
Embedded Controller multiprocessor systems with bus snooping.
The 68EC040 is the newest addition to Motorola's By integrating all these features into the EC040, the
embedded microprocessor family. It is the performance leader microprocessor is able to perform the vast majority of work
for top-of-the-line embedded applications. The EC040 is on-chip, limiting external memory accesses to allow for higher
capable of delivering 29 MIPS of sustained performance at 1.2 system performance with less expensive DRAMs. The result
cycles per instruction with a system cost that is unattainable is virtual immunity to the effects of memory wait states.
by competing architectures.

Motorola Master Selection Guide 2.2-5 The M68000 Family


Embedded Controllers (continued)

MC68EC030 MC68EC020
32-Bit Enhanced Embedded 32-Bit Embedded Controller
Controller The 68EC020, with a complete 32-bit intemal
implementation, has a 32-bit data bus and an on--chip instruction
The MC68EC030 is a 32-bit embedded controller that
cache to provide dramatically increased performance over 8-
streamlines the functionality of an MC68030 for the
and 16-bit microprocessors. In addition, upward migration to
requirements of embedded control applications. The the EC020 is made simple with dynamic bus sizing, allowing
MC68EC030 is optimized to maintain performance while 8, 16 and 32-bit peripherals to communicate with the
using cost-effective memory subsystems. The rich instruction microprocessor.
set and addressing mode capabilities of the MC68020, Other performance features include advanced bit
MC68030, and MC68040 have been maintained, allowing a manipulation capabilities that provide multiple bit shift operations
clear migration path for M68000 systems. The MC68EC030 in a single instruction cycle. This capability greatly simplifies
is object-code compatible with the MC68020, MC68030, and and accelerates the bit operations required in graphics
earlier M68000 microprocessors. Burst-mode bus interface is processing and optical recognition applications.
provided for efficient DRAM access.
The MC68EC030 has an on--chip data cache and on-chip MC68ECOOO
instruction cache with 256 bytes each. Dynamic bus sizing is
available for direct interfacing to 8-, 16-, and 32-Bit Devices.
Low-Powered HCMOS
The MC68EC030 includes 32-bit nonmultiplexed address Embedded Controller
and data buses, sixteen 32-bit general-purpose data and The 68ECOOO is a low-power HCMOS derivative of the
address registers, and two 32-bit supervisor stack pOinters 68000 optimized for cost-effective embedded processing.
and eight special-purpose control registers. The EC030 The ECOOO has a flexible data bus that can operate in either
provides complete support for coprocessors with the M68000 8- or 16-bit modes and a 24-bit address bus that provides
coprocessor interface. There are two access control registers 16 Mbytes of memory addressing capability. Electrical
that allow blocks to be defined for cacheability protection. The characteristics of the 68ECOOO have been optimized to
pipelined architecture, along with increased parallelism, ensure easy access to low--cost memories.
allows internal caches accesses in parallel with bus transfers The 68ECOOO represents the lowest cost entry point to any
and overlapped instruction execution. The enhanced bus 32-bit architecture. Coupled with efficient support for
controller supports asynchronous bus cycles (three clocks high-level languages and real-time operating systems, the
minimum), synchronous bus cycles (two clocks minimum), 68ECOOO provides unparalleled compatible migration paths to
and burst data transfers (one clock). higher performance.

The M68000 Family 2.2-6 Motorola Master Selection Guide


Each member of the 68300 family contains a core
processor based on the 68000 family, a System Integration
Integrated Processors Module (SIM), an on--chip bus and various peripheral
modules. The SIMs include support circuitry such a clock
Powerful solutions to cost-, space-, and power-sensitive generation, external chip selects, system protection, timers
embedded applications are provided by the 68300 family of and JTAG. The on--chip intermodule bus (1MB) on the
integrated microprocessors and microcontrollers. The 68300 CPU-based 68300s creates a standard interface over which
family combines two of Motorola's greatest strengths - the the CPU and each of the modules communicate. The
32-bit microprocessor architecture of the 68000 family and a peripheral modules include specialized processors, system
proliferation of peripheral circuits offering a growing family of controllers, traditional peripherals and memory. Because the
integrated solutions. peripheral modules are independent from each other, they can
The 68000 family is based on a proven, expandable appear in multiple 68300 devices. With so many major
architecture that spans the performance range from 1 to over features incorporated into a single 68300 device, a system
29 MIPS. This architecture offers the industry's highest level designer can realize improved reliability along with significant
of compatibility for both hardware and software. Motorola's savings in design time, power consumption, cost, board
single-chip microcomputers and microcontrollers provide the space, pin count and program development. In a 68300
industry's broadest selection of peripheral combinations, device, the major functions and glue logiC are all properly
insurance that one will fit the need of practically any connected, internally timed with the same fast clock, fully
application. The 683000 family embraces both of these tested and consistently documented.
concepts.

Table 3
68302 68306 68330 68331 68332 68333 68334 68340
Core Processor 68000 680ECOO CPU32 CPU32 CPU32 CPU32 CPU32 CPU32
Speeds (MHz) 16,20 16 16,25 16 16 16 16 16,25
DMA Yes - - - - - Yes
Serial Processor Yes - - - - -
Time Processor Unit - - - - Yes Yes Yes
Flash EEPROM - - - - - 64K
Serial 1/0 Yes Yes - Yes Yes Yes Yes
Timers 1 - - 1 - - 2
AID Converter - - - - - Yes Yes
SRAM 1K - - - 2K 4K 1K
DRAM Controlier - Yes - - - -

Glue Logic (SIM) Yes Yes Yes Yes Yes Yes Yes Yes
3.3 Volts Available - - - - - - Yes

combination of architectural and programmable features


MC68302 concurrent operation of different protocols (HDLC/SDLCTM,
Integrated Multiprotocol Processor UART, BISYNC, DDCMpTM, or transparent modes) can easily
The MC68302 integrated multiprotocol processor (IMP) is be achieved. Data concentrators, modems, line cards,
a very large-scale integration (VLSI) device incorporating the bridges, and gateways are examples of suitable applications
main building blocks needed for the design of a wide variety for this device.
of controllers used in the communications industry. The IMP The IMP is a Complementary Metal-Oxide Semiconductor
is the first device to offer the benefits of a closely coupled, (CMOS) device conSisting of an M68000/MC68008
industry-standard M68000/MC68008 microprocessor core microprocessor core, a system integration block (SIB), and a
and a flexible communications architecture. The Communications Processor (CP). By integrating the
three--channel communications device may be configured to microprocessor core with the serial ports (in the CP) and the
support a number of popular industry interfaces, including system peripherals (in the SIB), the IMP is capable of handling
those for the Integrated Services Digital Network (!SDN) basic complex tasks such as all ISDN basic rate (2B+D) access
rate and terminal adaptor applications. Through a tasks.

Motorola Master Selection Guide 2.2-7 The M68000 Family


Integrated Processors (continued)

storage RAM and dual time bases. In addition to the TPU and
MC68306 CPU32, the 68332 features the QSM, a 81M and 2-Kbytes of
Integrated 68ECOO Processor standby static RAM.

The 68306 integrated ECOOO processor includes many of


the features commonly found in 68000-based designs. The
MC68F333
68306 includes a 68ECOOO core processor, a 68681 Dual 32-Bit Microcontroller
Universal Asynchronous Receiver Transmitter (DUART),
system integration functions, and a DRAM controller. The The 68F333 provides the highest level of integration
on-chip DRAM controller gives the 68306 the family's available to high-performance timing applications such as
simplest interface to DRAM-based designs. The DRAM avionics and automotive engine control. The 68F333 contains
controller easily accommodates 64 Mbytes of memory. The the CPU32, the TPU and the QSM. It also adds two banks of
68306 saves time in the design cycle by providing valuable flash EEPROM totaling 64-Kbytes, a total of 4-Kbytes of
68000 system components pre-packaged in one chip. SRAM (512 bytes separately powered) and an 8-channel,
10-bit analog-to-digital converter. The Single-Chip
MC68330 Integration Module (SCIM) allows 18 of the external address
and data pins to be converted to I/O pins, resulting in a
Integrated CPU32 Processor single-chip solution suitable for many applications.
The 68330 is ideal for applications requiring 32-bit
microprocessor performance without the additional expense
inherent in 32-bit memory systems. The 68330 is the simplest
MC68334
and lowest priced member of the CPU32-based 68300 family. 32-Bit Microcontroller
The 68330 allows the designer access to the high
performance of the CPU32 along with minimized external glue The 68334 is a streamlined version of the 68332, taking
logic, while allowing the greatest freedom in selecting needed advantage of the powerful TPU. The 68334 includes the
peripherals, ASICs or gate arrays. CPU32 core processor, the TPU, a SIM, 1-Kbyte of SRAM, a
1Q-bit analog-to-digital converter and up to 47 discrete I/O
MC68331 lines.

32-Bit Microcontroller MC68340


The 68331 is well suited to applications requiring simple
serial communications and general timing needs. The 68331 Integrated Multiprotocol Processor
contains the CPU32, a SIM, a General Purpose Timer (GPT) with DMA
and a Queued Serial Module (QSM). The general purpose
timer is a simple yet flexible timer that provides four modes of The 68340 is excellent for applications requiring
operation with multiple channels for some operations. The high-speed or block data transfers, such as disk drives and
QSM provides two modes of communication: an navigation systems. The combination of general peripherals
asynchronous channel that provides up to 524-Kbits per and the extremely low power consumption possibilities of the
second transfer rate and a serial peripheral interface with 68340 make it ideal for many battery powered, portable
separate 16-word receiveitransmit queues. applications such as hand held computers and data
acquisition systems.
MC68332 The most distinguishing 68340 feature is the high speed
two channel, 32-bit Direct Memory Access (DMA) controller.
32-Bit Microcontroller Incorporating the CPU32 and DMA on the same chip
The 68332 is especially suited for high-performance timing eliminates the usual bus arbitration and synchronization
applications such as automotive engine control, precision delays, maximizing data throughout (25-Mbytes per second
motor control and industrial robotics. The powerful Time on a 16-bit bus).
Processor Unit (TPU) distinguishes the 68332 providing In addition to the CPU32, a SIM and the DMA, the 68340
optimum performance in controlling time-related activity. It contains a 68681/2681-compatible DUART. The 68340 also
drastically reduces the need for CPU intervention with its has two identical, versatile counter/timers, each with a 16-bit
dedicated execution unit, tri-level prioritized scheduler, data counter and an 8-bit prescaler with 80 ns resolution.

The M68000 Family 2.2-8 Motorola Master Selection Guide


Coprocessors MC68440
Dual Direct Memory Access
MC68851 Controller, DDMA
Paged Memory Management Unit, The DDMA complements the performance capabilities of
PMMU M68000 microprocessors by moving blocks of data in a quick,
efficient manner with a minimum of intervention from the MPU.
The PMMU is a 32-bit memory manager which provides
The DDMA performs memory-to-memory, peripheral-to--
full support for a demand paged virtual environment with the
memory, and memory-to--peripheral transfers through each
68010 or MC68020. It supports a 4-gigabyte addressing of two completely independent DMA channels. The DDMA
space when used as a coprocessor with the MC68020. An also offers two interrupt vectors per channel and supports both
on-chip address translation cache minimizes translation 8-bit and 16-bit data transfers.
delays and maximizes system performance.

MC68881 Network Devices


A Floating Point Coprocessor MC68824
Designed specifically for arithmetic expansion of the
MC68020 MPUI, this powerful coprocessor can also be used
Token Bus Controller, TBC
as a peripheral to all other M68000 family members, and with The TBC is the industry's first single--chip VLSI device to
non-M68000 processors as well. It performs floating point implement the IEEE 802.4 Media Access Control Sublayer of
math calculations in strict conformance to a full implementation the ISO Data Link Layer, as specified by General Motors
olthe IEEE Standard for Binary Floating Point Arithmetic (754) Manufacturing Automation Protocol, MAP. The TBC supports
and, in addition to the basic add, subtract, multiply, and divide serial data rates of 1, 5, and 10 Mbps and relieves the host
functions, it handles full selection of transcendental and processor of the frame formatting and token management
non-transcendental operations. These operations include functions. For efficient transfer of data frames, to and from
root values, trigonometric functions, exponentials, memory, the TBC features an on--chip four--channel DMA with
hyperbolics, and logs. All functions are calculated to 80 bits of bus master capability, a 32-bit address range, an 8- or 16-bit
extended precision in hardware. data bus, and a 4O-byte FIFO. The MC68824 also offers
support options for network bridges, real-time support and
network monitoring services.
MC68882
Enhanced Floating Point MC68184
Coprocessor Broadband Interface Controller
The MC68882 is pin-to-pin hardware and software The MC68184 Broadband Interface Controller (BIC) is a
compatible with the MC68881 Floating Point Coprocessor and high--performance interface device for use with the MC68824
implements a variety of performance enhancements including Token Bus Controller (TBC) to implement the digital portion of
dual-ported registers and an advanced pipeline. Additional the physical layer of a broadband IEEE 802.4 token bus node.
circuitry allows execution of multiple instructions in parallel for The BIC manipulates both data and control for RF transmitter
more than twice the Floating Point performance of the circuitry and RF receiver circuitry. The CMOS BIC supports
trail-blazing MC68881. Where higher performance data rates up to 10 Mbps using a duo-binary modulation
requirements indicate, the MC68882 is a drop-in replacement technique and provides 20 lines for receiverltransmitter
for the MC68881. control with 13 user-defined outputs.
The BIC performs the digital functions of the physical layer
DMA Controllers when implementing a broadband token bus node. The modem
side of the BIC provides data and control for the RF
transmitter/receiver circuitry. A standard serial interface is
MC68450 used to connect the BIC to the MC68824 TBC. The TBC
performs the media access control (MAC) function. The
DMA Controller, DMAC MC68184 has the ability to scramble and descramble data.
The DMAC maintains high-performance data movement
for complex M68000 MPU-based systems. While pin MC68185
compatible with the MC68440 DDMA, the DMAC offers four Twisted-Pair Modem
completely independent DMA channels. In addition to all the
features of the DDMA, the DMAC also provides very The MC68185 Twisted-Pair Modem (TPM) is used in
sophisticated manipulation of data through sequential and conjunction with a MC68824 Token Bus Controller (TBC), an
linked array--chained addressing capabilities. RS485 transceiver, and a twisted--pair media to implement a

Motorola Master Selection Guide 2.2-9 The M68000 Family


Network Devices: MC68185 - Twisted-Pair Modem (continued)

low--cost area network (LAN). The MC68824 TBC implements


the layer 2 media access control (MAC) portion of the IEEE
MC68605
802.4 LAN station and receiver portion for the IEEE 802.2
logical link control (LLC) type 3 as well as providing support for
X.2S Protocol Controller, XPC
LLC type 1 and type 2. The TPM interfaces directly to the TBC, The XPC implements the 1984 CCITT X.25
providing physical layer management, including MAC symbol Recommendation Data Link Procedure (level 2) LAPB. In
encoding/decoding at data rates up to 2 Mbps. addition to handling the lower level communications functions
The TPM contains an 32 kHz to 20 MHz on--chip crystal (HDLC framing, CRC generation/checking, and zero
oscillator that generates a transmit clock without external insertion/deletion), the XPC also independently ha.ndles
circuitry. The physical layer management includes local higher level communications functions (frame sequencing,
loopback mode, transmitter enable, and reset. An on-Chip retransmission, flow control, retries limit and timeout
digital filter provides for noise reduction of received data. conditions). This allows the host to operate almost totally
isolated from the task of ensuring error-free transmission and
reception of data.
MC68194 MC68606
Carrierband Modem Multi-Link LAPD Controller CCITT
The bipolar LSI MC68194Carrierband Modem (CBM), Q.920/Q.921, LAPD
when combined with the MC68824 Token Bus Controller
(TBC) , provides an IEEE 802.4 single-channel, The MC68606 Multi-link LAPD (MLAPD) Protocol
phase-coherent carrierband, Local Area Network (LAN) Controller fully implements CCITT Recommendation
connection. The CBM performs the physical layer function, 0.920/0.921 Link Layer Access Procedure (LAPD) protocol
including symbol encoding/decoding, signal transmission and for ISDN networks. The MLAPD is designed to handle both
reception, and phYSical management. signalling and data links in high-performance ISDN primary
The CBM provides the three basic functions of the physical rate applications.
layer: data transmission to the coaxial cable, data reception This VLSI device provides a cOst-effective solution to
from the cable, and management of the physical layer. For ISDN link-level processing with simultaneous support for up
standard data mode (also called MAC mode), the CBM to 8K logical links. The MC68606 is an intelligent
receives a serial transmit data stream from the TBC (called communications protocol controller compatible with AT&T
symbols or atomic symbols), encodes, modulates the carrier, specifications for ISDN devices and features low power
and transmits the signal to the coaxial cable. Also in the data consumption and high performance, with an aggregate data
mode, the CBM receives a signal from the cable, demodulates rate in excess of 2.048 Mbps.
the Signal, recovers the data, and sends the received data
symbols to the TBC. End-of-transmission receiver blanking Data Communication Devices
as required by IEEE 802.4 is supported. Communication
between the TBC and CBM is through a standardized serial
interface consistent with the IEEE 802.4 DTE-DCE interface.
MC68681
MC2681
MC68195 Dual Universal Asynchronous
Local Talk Adaptor ReceiverlTransmitter, DUART
The MC68681 features two completely independent
The MC68195 LocalTalk adaptor (LA) is used in full-duplex asynchronous receiver/transmitter channels that
conjunction with the MC68302 Integrated Multiprotocol interface directly to the M68000 microprocessor bus.
Processor (IMP) to build a network interface to LocalTalk™, Receiver data registers are quadruple buffered and
also known as AppleTalk™. LocalTalk refers to the 230.4-kbps transmitter data registers are double buffered for minimum
Local Area Network (LAN) that connects multiple Maclntosh™ MPU intervention. Each has its own independently selectable
computers and printers. baud rate. Multifunction 6'-bit input port and 8-bit output port,
The LA provides LocalTalk support for any twp of the three a 16-bit programmable counter/timer, interrupt handling
IMP serial channels. Combinations of multiple LA and/or IMP capabilities, and a maximum one-megabyte per second
devices may be used to support additional LocalTalk transfer rate make the DUART an extremely powerful device
channels. Non-LocalTalk applications can use the LA device for complex data communication applications. Full device
with the IMP to build proprietary HDLC-based LANs at up to functionality with an M6800 bus interface is provided by the
2.5 Mbps using bi-phase space (FMO) encoding. MC2681.

The M68000 Family 2.2-10 Motorola Master Selection Guide


conversions. The FCG also does a five-bit parallel to serial
General Purpose 1/0 conversion during transmission, and a serial to five-bit parallel
conversion during reception. The FCG uses the five-bit
MC68230 parallel interface to communicate with the MC6883? device.
The FCG directly connects to fiber optic modules through
Parallel InterfacelTimer, PIIT differential driver/receiver pins. Features include full duplex
The PlfT provides versatile double-buffered parallel operations, 125 MHz clock recovery from incoming serial
interfaces and a system--oriented timer for M68000 systems. NRZI data stream, and 125 MHz transmit clock generation.
The parallel interfaces operate either in a unidirectional or
bidirectional mode, either 8-- or 16--bit wide. The timer is 24 MC68837
bits with full programmability and a 5-bit prescaler. The PlfT
has a complete M68000 bus interface and is fully compatible Elasticity Buffer and Link Manager
with the MC68450 DMAC. The Elasticity Buffer and Link Manager (ELM) implements
the remaining of the PHY functions of the FDDI standard
MC68901 including data framing, elasticity buffer, encoding, decoding,
smoothing, line state detection, and repeatfilter. The ELM also
Multifunction Peripheral, MFP implements some Station Management (SMT) functions such
The MFP provides basic microcomputer function as the Connection Management (CMT), PhYSical Connection
requirements as a single companion chip to the M68000 Management (PCM), Physical Connection Insertion (PCI),
Family of Microprocessors. Features provided via a direct and Link Error Monitor (LEM).
M68000 system bus interface include a full-function,
single--channel Universal Serial Asynchronous MC68838
ReceiverfTransmitter (USART) for data communication, an
8--source interrupt controller, eight parallel I/O lines, and four Media Access Controller
8--bit timers. The Media Access Controller (MAC) implements the MAC
portion of the FDDI standard. The MAC protocol is the lower
sub-layer of the ISO OSI data link layer and provides for fair
and deterministic sharing of the phYSical medium, address
Fiber Distributed recognition, frame check sequence generation and
verification, frame insertion, frame repetition, frame removal,
Data Interface token generation, and certain error recovery procedures.
Features on the MC68838 include independent receive and
Fiber Distributed Data Interface (FDDI) is defined as a dual transmit data paths and state machines, bridging support
fiber-optic token ring LAN (Local Area Network) that can including a bit order reversal option, a count and void frame
support rates up to 100 Mbps. It can accommodate rings with bridge stripping algorithm, and CRC appendage on a per
1,000 stations. Two kilometers between stations, and up to frame basis. The MAC also contains an interface to Content
200 kilometers in total length. This technology is driven by the Addressable Memory (CAM) for individual and multicast
need to support high performance distributed computer address recognition.
systems which are becoming faster and more powerful, thus
imposing a greater need for network speed and bandwidth.
Other uses for FDDI include backbone networks connecting
MC68839
Ethernet, Token Bus, and Token Ring segments and back end
networks connecting high-speed peripherals. FDDI is an
FOOl System Interface
American National Standards Institute (ANSI) standard. The FDDI System Interface (FSI) is a high performance
Motorola's FDDI chip set includes the MC68836, MC6883?, interface device which can easily connect to any bus including
MC68838, and MC68839. high speed processors, little- and big--endian busses, and
multiplexed/non-multiplexed address data busses. Its
primary purpose is to interface the FDDI protocol devices to
MC68836 the user system bus. FSI features include support for a ring
buffer structure, addressing flexibility, programmable
FOOl Clock Generator partitioned 8K bytes internal RAM for temporary data storage,
The MC68836 FDDI Clock Generator (FCG) implements two 32-bit ports, the ability to sustain up to 250 j.1S bus
part of the Physical Layer (PHY) functions of the FDDI latencies, support for synchronous and asynchronous frames,
standard including clock recovery, data recovery, and NRZI and the ability to chain multiple buffers per frame.

Motorola Master Selection Guide 2.2-11 The M68000 Family


to support each CPU module. The lOP also includes two
Development Tools software debug monitor programs: Integrated Systems'
ROM68J(TM and Intermetrics' SmartROMTM. This configuration
Application Development System allows the user to take advantage of an entire suite offeatures,
The M68302AOS is a stand-alone board developed by including tracing, assembling, disassembling and
Motorola that includes software modules (driver code, LAPB, downloading, that are offered by the monitors. Optional
LAPO, and X.25), a real-time kernel, and a monitor/debugger. software is available to expand the development environment
The board consists of the MC68302, memory (512K bytes of of the lOP by allowing the user to design, debug and evaluate
RAM expandable to 1M bytes, 256 bytes of EPROM and the M68000 microprocessor-based applications in real-time
EEPROM), and an MC68681 OUART (to allow all MC68302 and non-real-time operating system environments. The lOP
serial ports to be available to the user). It is an inexpensive, also functions as a tool for final test or fault analysis of user
ideal platform for software development and testing. target systems.
The lOP only requires a user-supplied power supply and
an R8-332 ASCII terminal or host computer with an RS-232
M68ECOxOlDP serial port. Although the lOP will function using a terminal, the
preferred communication device is a host computer.
Evaluation Boards for Embedded Operating the lOP with a host computer allows the user to
Controllers develop, compile and debug code using one of many optional
software tools. Once code is developed, the program can be
The M68000 family lOP is a board set designed to provide
saved and downloaded to the lOP from the host computer.
a low-cost evaluation platform, yet flexible environment for
developing both software and hardware for the family
products. The platform provides the means for M68000
microprocessor and tool evaluation which enables users to M68340EVS
properly select the microprocessor and associated tools for
their next application. Because the turnkey development Evaluation System
system requires the user to do very little to power up the
The M68340EVS is an inexpensive three-board
system and begin development, significant time savings is
evaluation and development system which allows the user to
realized by reducing the overall time that the product takes to
design, debug and evaluate 6834D-based applications. It
get to market.
The lOP consists of an M68000 Family microprocessor--based interfaces easily to traditional emulation tools and includes its
CPU module as well as a generic lOP motherboard designed own software debugger.

Support Software
M68KESW-PC1 M68040FPSP
This Intermetrics software package is for the 68K Family This software provides 68040 floating point emulation of
(68000, 68008, 68HC001, 68010, 68020, 68030, 68EC030, unimplemented 68881/68882 functions. Contact factory for
68040, 683xx). The M68KESW InterTools package includes license agreement.
C compiler, assemblerllinker, run-time libraries, and one year
of support from Intermetrics.

Table 4. Selector Guide


Device Number Package Speeds Device Type
MC68000 64-Lead L', P 8,10,12,12F' Microprocessor
68-Lead R, RC', FN 8,10,12,12F'
MC68ECOOO 68-LeadFN 8, 10, 12, 16 Embedded Controller
MC68HCOOO 6Head P 8,10,12, 12F', 16 Microprocessor
68-Lead R, RC', FN 8,10,12,16
68--Lead FC 8,10,12,16
MC68l-1cOOl 68--lead R, RC',FN 8,10,12,16
MC68008 48-Lead P 8, 10 Microprocessor
52-Lead FN 8, 10
MC6801 0 64-LeadP 8,10,12 Microprocessor
68--Lead R, RC', FN 8,10,12
.Not recommended for new deSIgn
All package/speed combinations may not be valid - consu~ factory to verify

The M68000 Family 2.2-12 Motorola Master Selection Guide


Table 4. Selector Guide (continued)
Device Number Package Speeds Device Type
MC68020 114-Lead RC 12,16,20,25,33 Microprocessor
132-Lead FE' 16,20,25
114-Lead RP 16,20,25
132-Lead FC 16,20,25
MC68EC020 10D-Lead FG, RP 16,25 Embedded Controller
MC68030 128-Lead RC 16,20,25,33,40,50 Microprocessor
124-Lead RP 16,20,25,33
132-Lead FE 16,20,25,33
MC68EC030 124-Lead RP 25,40 Embedded Controller
132-Lead FE 25,40
MC68040 179--Lead RC 25,33,40 Microprocessor
MC68EC040 179-Lead RC 20,25,33 Embedded Controller
184-Lead FE 20,25
MC68LC040 179-Lead RC 20,25,33 Microprocessor
184-Lead FE 20,25
MC68040V 184-Lead FE 25,33 Microprocessor
MC68060 223-LeadRC 50,66 Microprocessor
TBD-Lead FE 50,66
MC68184 4D-Lead P, L - Network
MC68185 44-Lead FN - Network
68-Lead RC -
MC68194 52-Lead FJ - Network
MC68195 44-Lead FN - Network
MC68230 48-Lead P 8, 10 General Purpose I/O
52-Lead FN 8, 10
MC68302 132-Lead RC, FE, FC, FD 16,20 Integrated Processor
MC68306 128-Lead FC 16 Integrated Processor
132-Lead FG 16
MC68330 132-Lead FC 16,25 Integrated Processor
8,16 @3.3V
MC68331 132-Lead FC 16 Integrated Processor
MC68332 132-Lead FC 16 Integrated Processor
MC68340 144-Lead FE 16,25 Integrated Processor
145-Lead RP 16,25
MC68340V 144-Lead FE 8,16 @3.3V Integrated Processor
145-Lead RP 8,16@3.3V
MC68360 24D-Lead FC 0-25 Integrated Communication
241-Lead RC 0-25 Controller
MC68440 68-Lead L, P 8,10 DMA Controller
68-Lead R, FN 8,10
MC68450 68-Lead L, P 8,10 DMA Controller
68-Lead R, FN 8, 10
MC68605 84-Lead R, RC 10,12,16 Network
84-Lead FN 10,12,16
MC68606 84-LeadRC 12, 16 Network
84-Lead FN 12,16
'I< Not recommended for new design

All package/speed combinations may not be valid - consult factory to verify

Motorola Master Selection Guide 2.2-13 The M68000 Family


Table 4. Selector Guide (continued)
Device Number Package Speeds Device Type
MC2681 40--lead P, L' - Data Communication
44-LeadFN -
MC68681 4Q-Lead P, L' - Data Communication
44-Lead FN -
MC68824 84-Lead R, RC 10,12,16 Network
84-Lead FN 10,12,16
MC68836 52-LeadFN - Fiber Distributed Data
- Interface
MC68837 12Q-LeadKB - Fiber Distributed Data
12Q-Lead FC - Interface
MC68838 120--lead KB - Fiber Distributed Data
12Q-Lead FC - Interface
MC68839 184-LeadRC - Fiber Distributed Data
184-Lead FE - Interface
MC68851, 132-Lead RC 12,16,20 CoProcessor
MC68881 68-Lead RC, FN 12,16,20 CoProcessor
MC68882 68-Lead RC 16,20,25,33,40,50 CoProcessor
68-LeadRN 16,20,25,33,40
MC68901 48-Lead P - Genera(Purpose I/O
52-Lead FN -
FC = Plastic Quad (Gull Wing) FN = Plastic Quad Pack (PLCC) P = Plastic DIP
FD = Plastic Quad w/Molded Carrier Ring KB = Ceramic PGA w/Ceramic Lid R = Pin Grid Array, Solder Lead Finish
FE = Ceramic Quad (Gull Wing) L = Ceramic DIP RC = Ceramic PGA, Gold Lead Finish
FG = Plastic Quad Flat Pack (PQFP) LC = Ceramic DIP, Gold Lead Finish RP = Plastic Pin Grid Array
• Not recommended for new design
All package/speed combinations may not be valid - consu~ factory to verify

The M68000 Family 2.2-14 Motorola Master Selection Guide


The M88000 RiSe Family

In Brief ...
Motorola's 88000 Family comes from the only company Page
committed to long-term upward software compatibility Architecture, Performance,
through such features as hardware interlocked and and Software Compatibility ..................... . 2.3-2
protected pipelines. Our goal is to make sure each Microprocessors .............................. . 2.3-2
generation of the 88000 RISC family delivers a high Cache/Memory Management Units .............. . 2.3-3
performance level while maintaining software compatibility.

Motorola Master Selection Guide 2.3-1 The M88000 RiSe Family


Motorola's 88000 RiSe Microprocessors
... a performance architecture
A common register file provides data sharing and
Architecture, Performance, and synchronization control among the execution units through
Software Compatibility register scoreboarding.
The MC88100 addresses a variety of applications
The 88000 RISC was designed from the start for
reqUiring high operational speeds and effiCient,
superscaler implementations. In fact, the design of the second
fast-execution architectures. All data manipulation
generation 88110 microprocessor is a unique superscaler
instructions are nondestructive register to register or register
implementation called Symmetric Superscalar™. The
with immediate operations, allowing both fast operand access
Symmetric Superscaler design allows you to execute multiple
and operand reuse. IEEE 754 floating-point arithmetic is
instructions in a single clock cycle without any restrictions on
supported in the processor. Instruction and data memory
instruction ordering. So there are no wait states or
space are accessed through separate memory ports, allowing
performance penalties because of out of order instructions.
simultaneous access to dedicated memory areas. The 88000
Also, while other RISC microprocessors may be limited in
Family includes the MC88200 CMMU (cache/memory
the instructions they can execute in a single clock cycle,
management unit), which adds high-speed memory caching,
members of the 88000 are able to execute multiple
two-level, demand-paged memory management, and
instructions per clock cycle, thus providing the performance
support for shared-memory multiprocessing. The 88000
edge required for next generation system designs.
Family also includes a full line of highly optimizing compilers,
operating systems, development boards, and development
Performance Plus Software tools.

Compatibility
Although high performance is recognized as a key feature
MC88110RC
for systems design, software compatibility is also important. 32-Bit RISC Microprocessor
Motorola's 88000 Family comes from the only company
committed to long term upward software compatibility through The MC8811 0 is the second implementation of the 88000
such features as hardware interlocked and protected family of reduced instruction set computer (RISC)
pipelines. Our goal is to make sure each generation of the microprocessors. The MC88110 is a Symmetric Superscalar
88000 RISC family delivers a high performance level while machine capable of issuing and retiring two instructions per
maintaining software compatibility. This gives the opportunity clock without any special alignment, ordering, or type
for designing one of the industry's highest performance restrictions on the instruction stream. Instructions are issued
systems, while leveraging your largest dollar investment in to multiple execution units, execute in parallel, and can
new systems, your software. complete out of order, with the machine automatically keeping
Software compatibility is also promoted through standards results in the correct program sequence. The SymmetriC
to provide an open systems environment benefitting system Superscalar design allows sustained performance to
companies, software developers, and end users because approach the peak performance capability.
88000 based systems from different vendors will run all of the The MC88110 uses dual instruction issue and simple
same software. instructions with extremely rapid execution times to yield
maximum efficiency and throughput for 88000 systems.
Instructions either execute in one clock cycle, or effective one
Microprocessors clock cycle execution is achieved through internal pipelining.
Ten independent execution units communicate with a general
MC88100RC register file and an extended register Ii Ie through multiple
80-bit internal buses. Each of the register files has sufficient
32-Bit RISC Microprocessor bandwidth to supply four operands and receive two results per
The MC881 00 is the first processor in the 88000 Family of clock cycle. Each of the pipelined execution units, including
RISC (reduced instruction set computer) microprocessors. those that execute floating-point and data movement
Implemented with Motorola's HCMOS technology, the instructions, can accept a new instruction and retire a previous
MC88100 incorporates 32-bit registers, data paths, and instruction on every clock cycle.
addresses. In designing the MC881 00, Motorola has In a single chip implementation, the MC88110 integrates
incorporated a high degree of fine-grain parallelism; four the central processing unit, floating point unit, graphics
independent execution units maintain separate, fully processing unit, virtual memory address translation,
concurrent execution pipelines. Most instructions operate in instruction cache, and data cache. The MC88110 maintains
one machine cycle or effective concurrent execution can be compatibility with MC88100 user application software.
accomplished through internal pipelines in one machine cycle.

The M88000 RiSe Family 2.3-2 Motorola Master Selection Guide


maintained by MC88204 hardware. The block address
Cache/Memory translation cache (BATC) is a 1D-entry cache, loaded by
Management Units software, containing translations for 512K-byte memory
blocks. The BATC translations are used for operating system
MC88200RC software or for other memory-resident instructions and data.
In addition, the MMU provides access control for the two
16-Kilobyte Cache/Memory logical address spaces. The CMMU data cache is a 64K-byte,
four-way set-associative cache for instruction or data
Management Unit (CMMU) storage. The cache incorporates memory-update policies
The MC88200 CMMU is a high-performance, HCMOS and cache--coherency mechanisms that support
VLSI device providing zero-wait-state memory management multiprocessor applications. The MC88204 CMMU also
and data caching. The MMU (memory management unit) includes an MC8810O--compatible processor bus (P bus)
efficiently supports a demand-paged virtual memory interface and memory bus (M bus) interface.
environment with two logical address ranges The MC88204 CMMU is completely software and pin-level
(user/supervisor) of 4 gigabytes each. Translated addresses compatible with the MC88200 16K-byte CMMU. The
are provided by one oftwo ATCs (address translation caches), functionality of the MC88204 is identical to that of the
providing address translation in one clock cycle for most MC88200. With board layout constraints in mind, a central
memory accesses. The PATC (page address translation processing unit (CPU) may use up to two MC88204 CMMUs
cache) is a 56-entry, fully associative cache containing on the data P bus and up to two MC88204 CMMUs on the
recently used translations for 4-kilobyte memory pages and instruction P bus to increase data cache and ATC sizes.
is maintained by MC88200 hardware. The BATC (block
address translation cache) is a 1D-entry cache, loaded by
software, containing translations for 512-kilobyte memory MC88410
blocks. The BATC translations are used for operating system
software or for other memory-resident instructions and data. Secondary Cache Controller
In addition, the MMU provides access control for the two
The MC88410 is a highly integrated secondary cache
logical address spaces. The CMMU data cache is a
controller for the MC88110 microprocessor that reduces
16-kilobyte, four-way, set-associative cache for instruction
memory latency and extends multiprocessing capability for
or data storage. The cache incorporates memory-update
those seeking the highest level of system performance. Used
policies and cache-coherency mechanisms that support
with the MCM6211 0 Fast Static RAM, it provides a functionally
multiprocessor applications. The MC88200 CMMU also
complete secondary cache solution for both uniprocessor and
includes an MC88100--compatible P bus (processor bus)
multiprocessor environments. The MC88410 provides tag,
interface and an M bus (memory bus) interface. A processor
control and buffering for 1/4, 1/2, and 1 Mbyte secondary
may use two or more CMMUs for increased data cache and
cache configurations, all in a single chip cache controller. The
ATC sizes.
MC88410 eliminates external logic between the processor
and the secondary cache, provides bus arbitration for the
MC88204RC MC88110, and requires no external programming.
The MC88410 and MCM62110 are optimized to provide
64K-Byte Cache/Memory low latency memory access to the MC8811 0 processor. Initial
Management Unit (CMMU) accesses incur only one wait state. Subsequent transactions
in a burst incur zero wait states. Data streaming to the
The MC88204 CMMU is a high-performance, HCMOS processor reduces the penalty on secondary cache misses.
VLSI device providing zero-wait-state memory management The MC88410 expands the MC88110's system flexibility
and data caching. The memory management unit (MMU) by providing a choice of secondary cache line size, burst byte
efficiently supports a demand-paged virtual memory ordering, and system clock frequency. The MC8841 0 extends
environment with two logical address ranges (user/ the MC88110 multiprocessing capability by significantly
supervisor) of 4 Gbytes each. Translated addresses are reducing system bandwidth consumption. This increased
provided by one of two address translation caches (ATCs), available bandwidth, along with the MC88410's hardware
providing address translation in one clock cycle for most enforced cache coherency protocol, enable the
memory accesses. The page address translation cache implementation of dual bus systems and scalable shared-bus
(PATC) is a 56--entry, fully associative cache containing multiprocessing systems.
recently used translations for 4K-byte memory pages and is

Motorola Master Selection Guide 2.3-3 The M88000 RiSe Family


The M88000 RiSe Family 2.3-4 Motorola Master Selection Guide
The PowerPCTM RISC Family
Microprocessors

In Brief ...
The PowerPC architecture is derived from the IBM Page
Performance Optimized with Enhanced RISC (POWER) PowerPCTM RISC Microprocessors. . . . . . . . . . . . . . .. 2.4-2
architecture. The PowerPC architecture shares all of the MPC601 RISC Microprocessor. . . . . . . . . . . . . . . . . .. 2.4-2
benefits of the POWER architecture but is optimized for MPC602 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-3
single-chip implementations. The architecture design MPC603 RISC Microprocessor .. . . . . . . . . . . . . . . . .. 2.4-3
emphasizes parallel instruction execution and high MPC603e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-6
throughput and allows for exceptional floating-point MPC604 RISC Microprocessor . . . . . . . . . . . . . . . . . .. 2.4-9
performance. The PowerPC architecture is powerful MPC604e RISC Microprocessor. . . . . . . . . . . . . . . . .. 2.4-9
today and is scalable from palmtops to mainframes. MPC620 RISC Microprocessor .................. 2.4-13
MPC105 PCI Bridge/Memory Controller .......... 2.4-15
MPC106 PCI Bridge/Memory Controller .......... 2.4-16

Motorola Master Selection Guide 2.4-1 The PowerPC RISC Family Microprocessor
PowerPCTM RISC • A flexible architecture definition that allows certain
features to be performed in either hardware or with
assistance from implementation-specific software
Microprocessors depending on the needs of the processor design.
• User-level instructions for explicitly storing, flushing, and
The PowerPC Architecture™, developed jointly by
invalidating data in the on-chip caches. The architecture
Motorola, IBM, and Apple, is based on the POWER
also defines special instructions (cache block touch
Architecture™ implemented by the RISC Systeml6000™
instructions) for speculatively loading data before it is
family of computers. The PowerPC architecture takes
needed, potentially reducing the effect of memory latency.
advantage of recent technological advances in such areas as
• Definition of a memory model that allows weakly-ordered
process technology, compiler design, and RISC (reduced
memory accesses. This allows bus operations to be
instruction set computer) microprocessor design to provide
reordered dynamically, which improves overall
software compatibility across a diverse family of
performance and in particular reduces the effect of
implementations, primarily single-chip microprocessors,
memory latency on instruction throughput.
intended for a wide range of systems, including
• Support for separate instruction and data caches
battery-powered personal computers, embedded controllers,
(Harvard architecture) and for unified caches.
high-end scientific and graphics workstations, and
• Support for both big- and little-endian addressing modes.
multiprocessing, microprocessor-based mainframes.
• Support for 64-bit addressing. The architecture supports
To provide a single architecture for such a broad
both 32-bit or 64-bit implementations. This document
assortment of processor environments, the PowerPC
typically describes the architecture in terms of the 64-bit
architecture is both flexible and scalable.
implementations in those cases where the 32-bit subset
The flexibility of the PowerPC architecture offers many
can be easily deduced.
price/performance options. Designers can choose whether to
implement architecturally-defined features in hardware or in
software. For example, a processor designed for a high-end MPC601 RISC
workstation has greater need for the performance gained from
implementing floating-point normalization and Microprocessor
denormalization in hardware than a battery-powered,
general-purpose computer might. The MPC601 is the first implementation of the PowerPC
The PowerPC architecture is scalable to take advantage of architecture. The MPC601 implements the 32-bit portion of
continuing technological advances - for example, the the PowerPC architecture, which provides 32-bit effective
continued miniaturization of transistors makes it more feasible (logical) addresses, integer data types of 8, 16, and 32 bits,
to implement more execution units and a richer set of and floating-point data types of 32 and 64 bits. For 64-bit
optimizing features without being constrained by the PowerPC implementations, the PowerPC architecture
architecture. provides 64-bit integer data types, 64-bit addressing, and
The PowerPC architecture defines the following features: other features required to complete the 64-bit architecture.
• Separate 32-entry register files for integer and The MPC601 is a superscalar processor capable of issuing
floating-point instructions. The general-purpose registers and retiring three instructions per clock, one to each of three
(GPRs) hold source and target data for integer arithmetic execution units. Instructions can complete out of order for
instructions, and the floating-point registers (FPRs) hold increased performance; however, the MPC601 makes
source and target data for floating-point arithmetic execution appear sequential.
instructions. The MPC601 integrates three execution units-an integer
• Instructions for loading and storing data between the unit (IU), a branch processing unit (BPU), and a floating-point
memory system and either the FPRs or GPRs. unit (FPU). The ability to execute three instructions in parallel
• Uniform-length instructions to allow simplified instruction and the use of simple instructions with rapid execution times
pipelining and parallel processing instruction dispatch yield high efficiency and throughput for MPC601-based
mechanisms. systems. Most integer instructions execute in one clock cycle.
• Nondestructive use of registers for arithmetic instructions The FPU is pipelined so a single-precision multiply-add
in which the second, third, and sometimes the fourth instruction can be issued every clock cycle.
operand, typically specify source registers for calculations The MPC601 includes an on-Chip, 32-Kbyte, eight-way
whose results are typically stored in the target register set-associative, phYSically addressed, unified instruction and
specified by the first operand. data cache and an on-chip memory management unit (MMU).
• A precise exception model (with the option of treating The MMU contains a 256-entry, two-way set-associative,
floating-point exceptions imprecisely). unified translation look-aside buffer (UTLB) and provides
• Floating-point support that includes IEEE-754 support for demand paged virtual memory address translation
floating-point operations. and variable-sized block translation. Both the UTLB and the
• The ability to perform both single- and double-precision cache use least recently used (LRU) replacement algorithms.
floating-point operations.

The PowerPC RISC Family Microprocessor 2.4-2 Motorola Master Selection Guide
The MPC601 has a 64-bit data bus and a 32-bit address The MPC602 has a single bus interface used for
bus. The MPC601 interface protocol allows multiple masters transferring both 32-bit addresses and either 32- or 64-bit
to compete for system resources through a central external data. This bus is time-multiplexed. The MPC602 interface
arbiter. Additionally, on-chip snooping logic maintains cache protocol allows multiple masters to compete for system
coherency in multiprocessor applications. The MPC601 resources through a central external arbiter. The MPC602
supports single-beat and burst data transfers for memory provides a three-state coherency protocol that supports the
accesses; it also supports both memory-mapped I/O and I/O modified, exclusive, and invalid (MEl) cache states. This
controller interface addressing. protocol is a compatible subset of the MESI
The MPC601 uses an advanced, 3.6--volts (601) or 2.5 (modified/exciusive/shared/invalid) four-state protocol and
volts (601v) CMOS process technology and maintains full operates coherently in systems that contain four-state
interface compatibility with TTL devices. caches.
The MPC602 uses an advanced, 3.3-V CMOS process
Block Diagram
technology and maintains full interface compatibility with TTL
Figure 1 provides a block diagram of the MPC601 that
devices.
illustrates how the execution units - IU, FPU, and BPU -
operate independently and in parallel. Block Diagram
The MPC602 block diagram in Figure 2 illustrates how the
execution units - IU, FPU, BPU, and LSU - operate
MPC602 RISC independently and in parallel.

Microprocessor
MPC603 RISC
The MPC602 is a low-cost, low-power implementation of
the PowerPC RISC architecture. The MPC602 implements Microprocessor
the 32-bit portion of the PowerPC architecture, which
provides 32-bit effective addresses, integer data types of 8, The MPC603 is the first low-power implementation of the
16, and 32 bits, and floating-point data types of 32 and 64 bits. PowerPC architecture. The MPC603 implements the 32-bit
Floating-point operations involving either 32- or 64-bit data portion of the PowerPC architecture, which provides 32-bit
types in single--precision format are supported; however, effective (logical) addresses, integer data types of 8, 16, and
floating;>oint operations involving 64-bit data types in 32 bits, and floating-point data types of 32 and 64 bits. For
double-precision format are not implemented in hardware 64-bit PowerPC implementations, the PowerPC architecture
and are instead trapped for emulation in software. provides 64-bit integer data types, 64-bit addressing, and
The MPC602 has four execution units-an integer unit (IU), other features required to complete the 64-bit architecture.
a floating;>oint unit (FPU), a branch processing unit (BPU), The MPC603 provides four software controllable
and a load/store unit (LSU). The ability to execute four power-saving modes. Three of the modes (the nap, doze, and
instructions in parallel and the use of simple instructions with sleep modes) are static in nature, and progressively reduce
rapid execution times yield high efficiency and throughput for the amount of power dissipated by the processor. The fourth
MPC602-based systems. Most integer instructions execute is a dynamic power management mode that causes the
in one clock cycle. The FPU is pipelined such that typically functional units in the MPC603 to automatically enter a
when the FPU pipeline is full, a single-precision instruction low-power mode when the functional units are idle without
can complete every clock cycle. affecting operational performance, software execution or any
The MPC602 provides dynamic and static power-saving external hardware.
modes. The three static modes - nap, doze, and The MPC603 is a superscalar processor capable of issuing
sleep - progressively reduce the amount of power and retiring a maximum of three instructions per clock.
dissipated by the processor. Instructions can execute out of order for increased
The MPC602 provides independent on-chip, 4-Kbyte, performance; however, the MPC603 makes completion
two-way set-associative, physically addressed caches for appear sequential.
instructions and data and on-chip instruction and data The MPC603 integrates five execution units - an integer
memory management units (MMUs). The MPC602 MMUs unit (IU), a floating-point unit (FPU), a branch processing unit
contain 32-entry, two-way set-associative, data and (BPU), a load/store unit (LSU) and a system register unit
instruction translation lookaside buffers (DTLB and ITLB). The (SRU). The ability to execute five instructions in parallel and
MPC602 provides an additional memory protection the use of simple instructions with rapid execution times yield
mechanism not defined by the PowerPC architecture. The high efficiency and throughput for MPC603--based systems.
602's protection--only mode can control whether instructions Most integer instructions execute in one clock cycle. The FPU
can be fetched from 4-Kbyte instruction pages and whether is pipelined so a single-precision multiply-add instruction can
data can be written to 4-Kbyte data pages. be issued every clock cycle.

Motorola Master Selection Guide 2.4-3 The PowerPC RISC Family Microprocessor
64-8IT DATA BUS

32-BIT DATA BUS

Figure 1. MPC601 Block Diagram

The PowerPC RISC Family Microprocessor 2.4-4 Motorola Master Selection Guide
32 BIT

+
SEQUENTIAL 3281T BRANCH
FETCHER ~ PROCESSING
UNIT
l32BIT
INSTRUCTION
QUEUE
~ -
f-oo
S
3281T
DISPATCH UNIT INSTRUCTION UNIT

32 BIT

!
INTEGER GPR FILE
32 BIT
LOAD/STORE
! 32 BIT !
FPR FILE
32 BIT
FLOATING
UNIT ~ ~ UNIT ~ ~
POINT UNI
GP FP
~ RENAME G RENAME ~
[]g[J REGISTERS
r-- REGISTERS IFPSCRI

I I I
32 BIT
COMPLETION
UNIT
DMMU IMMU

~
~~ ~~
32 BIT

I DTLB I ARRAY IITLB I ARRAY

POWER TIME BASE


DISSIPATION COUNTER/

~KBYTE ~ ~
CONTROL DEC REM ENTER
JTAG/COP CLOCK I TAGS I TAGS I4-KBYTE
DCACHE I CACHE
INTERFACE MULTIPLIER

PROCESSOR BUS INTERFACE I

TIME-MULTIPLEXED, 32-BIT ADDRESS BUS, 32/64-BIT DATA BUS

Figure 2. MPC602 Block Diagram

Motorola Master Selection Guide 2.4--5 The PowerPC RISC Family Microprocessor
The MPC603 provides independent on--chip, 8-Kbyte, the amount of power dissipated by the processor. The fourth
two-way set-associative, physically addressed caches for is a dynamic power management mode that causes the
instructions and data and on--chip instruction and data functional units in the MPC603e to automatically enter a
memory management units (MMUs). The MMUs contain low-power mode when the functional units are idle without
64-entry, two-way set-associative, data and instruction affecting operational performance, software execution, or any
translation lookaside buffers (DTLB and ITLB) that provide external hardware.
support for demand-paged virtual memory address The MPC603e is a superscalar processor capable of
translation and variable-sized block translation. issuing and retiring as many as three instructions per clock.
The MPC603 has a selectable 32- or 64-bit data bus and Instructions can execute out of order for increased
a 32-bit address bus. The MPC603 interface protocol allows performance; however, the MPC603e makes completion
multiple masters to compete for system resources through a appear sequential.
central extemal arbiter. The MPC603 provides a three-state The MPC603e integrates five execution units - an integer
coherency protocol that supports the Exclusive, Modified, and unit (IU), a floating-point unit (FPU), a branch processing unit
Invalid cache states. This protocol is a compatible subset of (BPU), a load/store unit (LSU), and a system register unit
the MESI four-state protocol and operates coherently in (SRU). The ability to execute five instructions in parallel and
systems that contain four-state caches. The MPC603 the use of simple instructions with rapid execution times yield
supports single-beat and burst data transfers for memory high efficiency and throughput for MPC603e-based systems.
accesses; it also supports both memory-mapped I/O and I/O Most integer instructions execute in one clock cycle. The FPU
controller interface addressing. is pipelined so a single-precision multiply-add instruction can
The MPC603 uses an advanced, 3.3-V CMOS process be issued every clock cycle.
technology and maintains full interface compatibility with TTL The MPC603e provides independent on--chip, 16-Kbyte,
devices. four-way set-associative, physically addressed caches for
instructions and data and on--chip instruction and data
Block Diagram
memory management units (MMUs). The MMUs contain
Figure 3 provides a block diagram of the MPC603 that
64-entry, two-way set-associative, data and instruction
illustrates how the execution units -IU, FPU, BPU, LSU, and
translation lookaside buffers (DTLB and ITLB) that provide
SRU - operate independently and in parallel.
support for demand-paged virtual memory address
The MPC603 provides address translation and protection
translation and variable-sized block translation.
facilities, including an ITLB, DTLB, and instruction and data
The MPC603e has a selectable 32- or 64-bit data bus and
BAT arrays. Instruction fetching and issuing is handled in the
a 32-bit address bus. The MPC603e interface protocol allows
instruction unit. Translation of addresses for cache or external
multiple masters to compete for system resources through a
memory accesses are handled by the MMUs.
central external arbiter. The MPC603e provides a three-state
coherency protocol that supports the exclusive, modified, and
invalid cache states. This protocol is a compatible subset of
the MESI (modified/exclusive/shared/invalid) four-state
MPC603e RISC protocol and operates coherently in systems that contain
four-state caches. The MPC603e supports single-beat and
Microprocessor burst data transfers for memory accesses, and supports
memory-mapped I/O accesses.
The MPC603e is a low-power implementation of the The MPC603e uses an advanced CMOS process
PowerPC RISC architecture. The MPC603e implements the technology and maintains full interface compatibility with TTL
32-bit portion of the PowerPC architecture, which provides devices. The MPC603e is implemented in both a 2.5-V
32-bit effective addresses, integer data types of 8, 16, and 32 version (PID7V--603e) and a 3.3-V version (PID6--603e).
bits, and floating-point data types of 32 and 64 bits. Block Diagram
The MPC603e provides four software controllable Figure 4 provides a block diagram of the MPC603e that
power-saving modes. Three of the modes (the nap, doze, and illustrates how the execution units-IU, FPU, BPU, LSU, and
sleep modes) are static in nature, and progressively reduce SRU - operate independently and in parallel.

The PowerPC RISC Family Microprocessor 2.4-6 Motorola Master Selection Guide
64-BIT
" ...

SEQUENTIAL
FETCHER
64-~IT BRANCH
PROCESSING

~4-BIT
UNIT -

INSTRUCTION
QUEUE
~ CR
LR

S
SYSTEM ~~664-8IT
REGISTER I DISPATCH UNIT
UNIT INSTRUCTION UNIT

'r-64-BIT

l ! 64-BIT It 64-81T 64-8IT


INTEGER
'- f...I GPR FILE ~ LOAD/STORE ~ FPRFILE ~ FLOATING-
FP RENAM~I ....- POINT UNIT
UNIT
I GP RENAME UNIT
rr::::!J REGISTERS REGISTERS
GJ ~
~ I""'""
I FPSCR I
l 1 !
" 32-BIT
~

COMPLETION
UNIT DMMU IMMU

§ ~§I ARRAY
I DTLB r-64-BIT
1SRSl§
I
IITLB ARRAY

POWER
DISSIPATION
TIME BASE
COUNTER/
I I
CONTROL
JTAG/COP CLOCK
TAGS I~-KBYT~~
D CACHE
TAGS a-KB";*-
I CACHE
INTERFACE MULTIPLIER

TOUCH LOAD BUFFER


f t I PROCESSOR BUS
COPYBACK BUFFER I INTERFACE

t
: :
32-BIT ADDRESS BUS

32-/64-BIT DATA BUS 1


Figure 3. MPC603 Block Diagram

Motorola Master Selection Guide 2.4-7 The PowerPC RISC Family Microprocessor
64 BIT
I
~
SEQUENTIAL I 64BIT BRANCH
-, FETCHER I PROCESSING UNIT
1 64BIT f--
INSTRUCTION
QUEUE
EI3
S
~64BIT
SYSTEM
REGISTER
UNIT I- DISPATCH UNIT
m I INSTRUCTION UNIT
i64BIT

L 64 BIT L 64 BIT L 64 BIT


INTEGER
UNIT
~ ... GPR FILE
GPRENAME
......... LOAD/STORE
UNIT
iA-+ FPR FILE
FPRENAME
~
FLOATING-
POINT UNIT
u::::=±J REGISTERS [II REGISTERS ~
[]0J
~
I FPSCR I
I I , I
32 BIT
COMPLETION
UNIT
DMMU IMMU

~ I~~
DTLB I ARRAY
64 BIT
~~
~ ARRAY

POWER
DISSIPATION
TIME BASE
COUNTERI I
CONTROL
JTAG/COP
DECREMENTER
CLOCK
TAGS \ 16-KBYTE
DCACHE
~ TAGS 16-KBYTE~
I CACHE
INTERFACE MULTIPLIER

TOUCH LOAD BUFFER


f ! f
PROCESSOR BUS
COPYBACK BUFFER INTERFACE

32-BIT ADDRESS BUS

32-164-BIT DATA BUS

Figure 4. MPC603e Block Diagram

The PowerPC RISC Family Microprocessor 2.4-8 Motorola Master Selection Guide
MPC604 RISC MPC604e RISC
Microprocessor Microprocessor
The MPC604 is an implementation of the PowerPC family The MPC604e is an implementation of the PowerPC family
of RISC microprocessors. The MPC604 implements the of RISC microprocessors. The MPC604e implements the
PowerPC architecture as it is specified for 32-bit addressing, PowerPC architecture as it is specified for 32-bit addreSSing,
which provides 32-bit effective (logical) addresses, integer which provides 32-bit effective (logical) addresses, integer
data types of 8, 16, and 32 bits, and floating-point data types data types of 8, 16, and 32 bits, and floating-point data types
of 32 and 64 bits (single-precision and double-precision). For of 32 and 64 bits (single-precision and double-precision). For
64-bit PowerPC implementations, the PowerPC architecture 64-bit PowerPC implementations, the PowerPC architecture
provides additional 64-bit integer data types, 64-bit provides additional 64-bit integer data types, 64-bit
addressing, and related features. addressing, and related features.
The MPC604 is a superscalar processor capable of issuing The MPC604e is a superscalar processor capable of
four instructions simultaneously. As many as six instructions issuing four instructions simultaneously. As many as seven
can finish execution in parallel. The MPC604 has six instructions can finish execution in parallel. The MPC604e has
execution units that can operate in parallel-floating-point seven execution units that can operate in
unit (FPU), branch processing unit (BPU), load/store unit parallel - floating-point unit (FPU), branch processing unit
(LSU), two single-cycle integer units (SCI Us), and one (BPU), condition register unit(CRU), load/store unit (LSU), two
multiple-cycle integer unit (MCIU). single-cycle integer units (SCI Us), and one multiple-cycle
This parallel design, combined with the PowerPC integer unit (MCIU).
architecture's specification of uniform instructions that allows This parallel deSign, combined with the PowerPC
for rapid execution times, yields high efficiency and architecture's specification of uniform instructions that allows
throughput. The MPC604's rename buffers, reservation for rapid execution times, yields high efficiency and
stations, dynamic branch prediction, and completion unit throughput. The MPC604e's rename buffers, reservation
increase instruction throughput, guarantee in-order stations, dynamic branch prediction, and completion unit
completion, and ensure a precise exception model. (Note that increase instruction throughput, guarantee in-order
the PowerPC architecture specification refers to all exceptions completion, and ensure a precise exception model. (Note that
as interrupts.) the PowerPC architecture specification refers to all exceptions
The MPC604 has separate memory management units as interrupts.)
(MMUs) and separate 18-Kbyte on-chip caches for The MPC604e has separate memory management units
instructions and data. The MPC604 implements two (MMUs) and separate 32-Kbyte on-chip caches for
128-entry, two-way set (64-entry per set) associative instructions and data. The MPC604e implements two
translation lookaside buffers (TLBs), one for instructions and 128-entry, two-way set associative translation lookaside
one for data, and provides support for demand-paged virtual buffers (TLBs), one for instructions and one for data, and
memory address translation and variable-sized block provides support for demand-paged virtual memory address
translation. The TLBs and the cache use least-recently used translation and variable-sized block translation. The TLBs
(LRU) replacement algorithms. and the cache use least-recently used (LRU) replacement
The MPC604 has a 64-bit external data bus and a 32-bit algorithms.
address bus. The MPC604 interface protocol allows multiple The MPC604e has a 64-bit external data bus and a 32-bit
masters to compete for system resources through a central address bus. The MPC604e interface protocol allows multiple
external arbiter. Additionally, on-chip snooping logic masters to compete for system resources through a central
maintains data cache coherency for multiprocessor external arbiter. Additionally, on--chip snooping logic
applications. The MPC604 supports single-beat and burst maintains data cache coherency for multiprocessor
data transfers for memory accesses and memory-mapped applications. The MPC604e supports single-beat and burst
I/O accesses. data transfers for memory accesses and memory-mapped
The MPC604 uses an advanced, 3.3--V CMOS process I/O accesses.
technology and is fully compatible with TTL devices. The MPC604e uses an advanced, 2.5-V CMOS process
technology and is fully compatible with TTL devices.
Block Diagram
Figure 5 provides a block diagram showing features of the Block diagram
MPC604. Note that this is a conceptual block diagram Figure 6 provides a block diagram of the MPC604e.
intended to show the basic features rather than an attempt to
show how these features are physically implemented on the
chip.

Motorola Master Selection Guide 2.4-9 The PowerPC RISC Family Microprocessor
-I
::r
CD
"ll

*en:u
()
JJ
()
"Tl
III
~" 128 BIT
'<
;;:
1;-
a
"0
g
CD
"'"'
Q
TIME BASE
COUNTER/DECREMENTER
CLOCK JTAG/COP
MULTIPLIER INTERFACE
."
cO"
e:
iil
!I'
s::
"1:J
oen
'"
to o
....
DJ
0"
n
~

C
iii" 64 BIT
ICI
iil
3
COMPLETION
UNIT
64 BIT
16-ENTRY
REORDER BUFFER

;;:
I ! I II >~ I32BIT BUS INTERFACE
UNIT
~
a
or 32-BIT ADDRESS BUS
;;:
64-BIT DATA BUS
~
(J)
CD

~
0"
:;J
Gl
c
~
;::
~
a
iii
;:: 128

ien
CD
IMMU

~
ci" ~ r:l
'"c:G'l
a:
CD TIME BASE
COUNTER/DECREMENTER
INSTRUCTION
~ L:J
1
(8 WORD)

~1
CLOCK JTAG/COP
MULTIPLIER INTERFACE

"TI
12
',:" .1
c.Ci"
r::::
(;

5:
"tI
0
I\) g)

t
c
it
ID 32 BIT
L. ....
r·~f4i~1 .. ' . rn
64 BIT
I RENAME
BUFFERS (8)

0" .~ \
n
:0\"
C •
iii"
ce
; 64 BIT
3

-l
::::r
CD
I COMPLETION UNIT TAGS
32-KBYTE
I CACHE
"3:e 64 BIT
16-ENTRY
~

HG
REORDER BUFFER DMMU
"U
C"l

~
STORE QUEUE RN.HLOAD
:0
Cii QUEUE 32 BIT SRs
I DBAT BUS INTERFACE

~
C"l 32-KBYTE
~ ~ UNIT
~ I
ARRAY TAGS 0 CACHE
~
;::
C'i" 32-BIT ADDRESS BUS
a
"0
a
£en
Q
New Features of the MPC604e
Features of the MPC604e that are not implemented in the advantage of the pipelined system bus to provide
MPC604 are as follows: more efficient handling of cache copyback, block
• Additional special-purpose registers invalidate operations caused by the data cache block
- HID1 provides four read-only Pll_CFG bits for flush (debf) instruction, and cache block clean
indicating the processor/bus clock ratio. operations resulting from the data cache block store
- Three additional registers support the performance (debst) instruction.
monitor-MMCR1 is a second control register that - Coherency support for instruction fetching. Instruction
includes bits to support the use of two additional fetching coherency is controlled by HIOO[23]. In the
counter registers, PMC3 and PMC4. default mode, HIOO[23] is 0, GBl is not asserted for
• Instruction execution instruction accesses, as is the case with the 604. If
- Separate units for branch and condition register (CR) the bit is set, and instruction translation is enabled
instructions. The BPU is now split into a CR logical =
(MSR[IR] 1), the GBl signal is set to reflect the M bit
unit and a branch unit, which makes it possible for for this page or block. If instruction translation is
branch instructions to execute and resolve before =
disabled (MSR[IR] 0), the GBl signal is asserted.
preceding CR logical instructions. The MPC604e can • System interface operation
still only dispatch one CR logical or branch instruction - The MPC604e has the same pin configuration as the
per cycle, but it can execute both branch and CR MPC604; however, on the MPC604e VOD and AVOD
logical instructions at the same time. must be connected to 2.5 Vdc and OVOD must be
- Branch correction in decode stage. Branch correction connected to 3.3 Vdc. The MPC604e uses split
in the decode stage can now predict branches whose voltage planes, and for replacement compatibility,
target is taken from the count or link registers if no MPC604/MPC604e designs should provide both
updates of the count and link register are pending. 2.5-V and 3.3-V planes and the ability to connect
This saves at least one cycle on branch correction those two planes together and disable the 2.5-V
when the mtspr instruction can be sufficiently plane for operation with an MPC604.
separated from the branch that uses the SPR as a - Support for additional processor/bus clock ratios (5:2
target address. and 4:1). Configuration of the processorlbus clock
- Ability to disable the branch target address cache ratios is displayed through a new MPC604e-specific
(BTAC)-HIDO[30] has been defined to allow the register, HID1.
BTAC to be disabled. When HIDO[30] is set, the BTAC - To support the changes in the cloc!illllLconfiguration,
contents are invalidated and the BTAC behaves as if it different precharge timings for the ABB, DBB, ARTRY,
were empty. New entries cannot be added until the and SHO Signals are implemented internally by the
BTAC is enabled. processor. The precharge timings for ARTRY and
• Improvements to cache implementation SHO can be disabled by setting HIOO[7].
- 32-Kbyte split data and instruction caches. Like the - No-ORTRY mode. In addition to the normal and fast
604, both caches are four-way set associative; l2 modes implemented on the 604, a no-DRTRY
however, each cache has twice as many sets, mode is implemented on the MPC604e that improves
logically separated into 128 sets of odd lines and 128 performance on read operations for systems that do
sets of even lines. not use the DRTRY signal. No-DRTRY mode makes
- Data cache line-fill buffer forwarding. In the 604 only read data available to the processor one bus clock
the critical double word of a burst operation was made cycle sooner than in normal mode. In no-ORTRY
available to the requesting unit at the time it was burst mode, the DRTRY signal is no longer sampled as part
into the line-fill buffer. Subsequent data was of a qualified bus grant.
unavailable until the cache block was filled. On the • Full hardware support for little-endian accesses.
MPC604e, subsequent data is also made available as Little-endian accesses take alignment exceptions for
it arrives in the line-fill buffer. only the same set of causes as big-end ian accesses.
- Additional cache copyback buffers. The MPC604e Accesses that cross a word boundary require two
implements three copyback write buffers (as opposed accesses with the lower-addressed word accessed first.
to one in the 604). Having multiple copyback buffers • Additional enhancements to the performance monitor.
provides the ability for certain instructions to take fuller

The PowerPC RISC Family Microprocessor 2.4-12 Motorola Master Selection Guide
The MPC620 has separate memory management units
MPC620 RISC (MMUs) and separate 32-Kbyte on-chip caches for
instructions and data. The MPC620 implements a 128-entry,
Microprocessor two-way set-associative translation lookaside buffer (TLB)
for instructions and data, and provides support for
The MPC620 is an implementation ofthe PowerPCTM family
demand-paged virtual memory address translation and
of RISC microprocessors. The MPC620 implements the
variable-sized block translation. The TLB and the cache use
PowerPC architecture as it is specified for 64-bit addressing,
least-recently used (LRU) replacement algorithms.
which provides 64-bit effective (logical) addresses, integer
The MPC620 has a 40-bit address bus, and can be
data types of 8, 16, 32, and 64 bits, and floating-point data
configured with either a 64- or 128-bit data bus. The MPC620
types of 32 and 64 bits (single-precision and
interface protocol allows multiple masters to compete for
double-precision). The MPC620 is software compatible with
system resources through a central external arbiter.
the 32-bit versions of the PowerPC microprocessor family.
Additionally, on-chip snooping logic maintains data cache
The MPC620 is a superscalar processor capable of issuing
coherency for multiprocessor applications. The MPC620
four instructions simultaneously. As many as six instructions
supports single-beat and burst data transfers for memory
can finish execution in parallel. The MPC620 has six
accesses and memory-mapped I/O accesses.
execution units that can operate in parallel - floating-point
The MPC620 uses an advanced, 3.3-V CMOS process
unit (FPU), branch processing unit (BPU), load/store unit
technology and is compatible with 3.3-V CMOS devices.
(LSU), two single-cycle integer units (SCIUs), and one
multiple-cycle integer unit (MCIU). Block Diagram
This parallel design, combined with the PowerPC Figure 7 provides a block diagram showing features of the
architecture's specification of uniform instructions that allows MPC620. Note that this is a conceptual block diagram
for rapid execution times, yields high efficiency and intended to show the basic features rather than an attempt to
throughput. The MPC620's rename buffers, reservation show how these features are physically implemented on the
stations, dynamic branch prediction, and completion unit chip.
increase instruction throughput, guarantee in-order
completion, and ensure a precise exception model.

Motorola Master Selection Guide 2.4-13 The PowerPC RISC Family Microprocessor
~
(I)
"U

~
=u
o
:Il
iii
o 156 BiT
-n
';l."
-<
s:

3
"0

~
U>
TiME BASE
U> COUNTER/DECREMENTER
Q
CLOCK JTAG/COP
MULTiPLIER iNTERFACE
."
ii"
I:
Ii!
:"I
s:
."
oen
'"
t
....
I\)
c 156 BiT
III
0"
n
~
C
iii"
CQ
iil
3
DMMU
16 ENTRY I ERAT I 64 BiT
REORDER BUFFER

~
~IDBAT
FINISH STORE .. _ . __ ~ ARRAY
QUEUE STORE QUEUE ~

s:
~
§~ I UTLB I
3
's:"
~
en
(I)

~
o
:::>
Gl
c
0:
(I)
write-back L2 cache. The L2 cache interface supports either
MPC105 PCI burst SRAMs or asynchronous SRAMs, and L2 data a
per-byte basis. The MPC1 05 features on-chip byte decoding
Bridge/Memory Controller for L2 data write enables or can be configured to use external
logic for data write enable generation.
The MPC105 PCI bridge/memory controller (PCIS/MC)
The PCI interface connects the processor and memory
provides a PowerPC reference platform---compliant bridge
buses to the PCI bus, to which 1/0 components are connected,
between the PowerPC microprocessor family and the
without the need for "glue" logic. This interface acts as both a
peripheral component interconnect (PCI) bus. PCI support
master and slave device.
allows system designers to rapidly design systems using
The memory interface controls processor and PCI
peripherals already designed for PCI and the other standard
interactions to main memory. It is capable of supporting a
interfaces available in the personal computer hardware
variety of DRAM or SDRAM, and ROM or Flash ROM
environment. The MPC105 integrates secondary cache
configurations as main memory. The maximum supported
control and a high-performance memory controller that
memory size is 1 Gbyte of DRAM or SDRAM, with 16 Mbytes
supports DRAM, SDRAM, ROM, and Flash ROM. The
of ROM or 1 Mbyte of Flash ROM.
MPC105 uses an advanced, 3.3-V CMOS process
The MPC1 05 provides hardware support for four levels of
technology and is fully compatible with TTL devices.
power reduction; the doze, nap, and sleep modes are invoked
The MPC105 provides an integrated high bandwidth, high
by register programming, and the suspend mode is invoked by
performance, TTL---compatible interface between a 60x
assertion of an external signal. The design of the MPC105 is
processor, a secondary (L2) cache or secondary 60x
fully static, allowing internal logic states to be preserved during
processor, the PCI bus, and main memory.
all power saving modes. The following sections describe the
The MPC105 supports a programmable interface to a
programmable power modes provided by the MPC1 05.
variety of PowerPC microprocessors operating at various bus
speeds. The 60x processor interface uses a subset of the 60x Block Diagram
bus protocol, which enables the interface between the Figure 8 shows the MPC105 in a typical system
processor and MPC1 05 to be optimized for performance. The implementation. The major functional units within the MPC1 05
MPC105's 60x interface allows for a variety of system are also shown in Figure 1. Note that this is a conceptual block
configurations by providing support for either a diagram intended to show the basic features rather than an
direct-mapped, lookaside, L2 cache or a secondary 60x attempt to show how these features are physically
processor. The L2 cache interface generates the arbitration implemented on the device.
and support signals necessary to maintain a write-through or

CONTROL L2 CACHE
OR
SECONDARY
60X
PROCESSOR

Figure 8. System Implementation and Block Diagram

Motorola Master Selection Guide 2.4-15 The PowerPC RISC Family Microprocessor
The internal L2 cache controller generates the arbitration
MPC106 PCI and support signals necessary to maintain a write-through or
write-back l2 cache. The internal l2 cache controller
Bridge/Memory Controller supports either asynchronous SRAMs, pipelined burst
SRAMs, or synchronous burst SRAMs, using byte parity for
The MPC106 provides a PowerPC common hardware
data error detection. When a second 60x ·processor is used,
reference platform (CHRP). compliant bridge between the
three signals of the L2 interface (BR1, BG1, and DBG1)
PowerPC microprocessor family and the Peripheral
change their functions to allow for arbitration between the 60x
Component Interconnect (PC I) bus. PCI support allows
processors. AII60x interface signals of the MPC106, except
system designers to rapidly design systems using peripherals
the bus request, bus grant, and data bus grant signals, are
already designed for PCI and the other standard interfaces
shared by the 60x processors. When an external L2 controller
available in the personal computer hardware environment.
(or integrated L2 cache module) is used, three signals of the
The MPC106 integrates secondary cache control and a
l2 interface (BRl2, BGl2, and DBGL2) changetheirfunctions
high-performance memory controller. The MPC106 uses an
to allow the MPC106 to arbitrate between the external cache
advanced, 3.3-V CMOS process technology and is fully
and the 60x processor(s).
compatible with TTL devices.
The MPC106 provides an integrated high-bandwidth, Memory Interface
high-performance, TTL--compatible interface between a 60x The memory interface controls processor and PCI
processor, a secondary (L2) cache or secondary 60x interactions to main memory and is capable of supporting a
processor, the PCI bus, and main memory. variety of DRAM, or extended data-out (EDO) DRAM and
ROM or Flash ROM configurations as main memory. The
60x Processor Interface
maximum supported memory size is 1 Gbyte of DRAM or EDO
The MPC106 supports a programmable interface to a
DRAM, with 16 Mbytes of ROM or Flash ROM. The memory
variety of PowerPC microprocessors operating at select bus
controller of the MPC1 06 supports the various memory sizes
speeds. The 60x processor interface of the MPC106 uses a
through software initialization of on--chip configuration
subset of the 60x bus protocol, supporting single-beat and
registers. Parity or ECC is provided for error detection.
burst data transfers. The address bus is 32 bits wide and the
data bus is 64 bits wide. The address and data buses are PCI Interface
decoupled to support pipelined transactions. PCI bus The MPC106's PCI interface is compliant with the PCI
accesses to system memory space are passed to the 60x Local Bus Specification, Revision 2.1, and follows the
processor bus for snoo~poses. Two signals on the guidelines in the PCI System Design Guide, Revision 1.0for
MPC106, LBCLAIM, and DBGLB, are provided for an optional host bridge architecture. The PCI interface connects the
local bus slave. The local bus slave must be capable of processor and memory buses to the PCI bus, to which I/O
generating AACK and TA signals to interact with the 60x components are connected. The PCI bus uses a 32-bit
processor(s). Depending on the system implementation, the multiplexed address/data bus, plus various control and error
processor(s) may operate at the PCI bus clock rate, or at two signals.
orthree times the PCI bus clock rate. The bus is synchronous, Figure 9 shows the major functional units within the
with all timing relative to the rising edge of the bus clock. MPC106. Note that this is a conceptual block diagram
intended to show the basic features rather than an attempt to
L2 Cache/Multiple Processor Interface
show how these features are physically implemented on the
The MPC106 provides support for the following
device.
configurations of 60x processors and L2 cache:
• A single 60x processor with no l2 cache
• A single 60x processor plus a direct-mapped, lookaside,
l2 cache
• A single 60x processor plus an external l2 cache
controller or integrated L2 cache module such as the
Motorola MPC2604GA integrated L2 lookaside cache
• Two 60x processors with no L2 cache
• Two 60x processors plus an externall2 cache controller
or integrated L2 cache module such as the Motorola
MPC2604GA integrated l2 lookaside cache

The PowerPC RISC Family Microprocessor 2.4-16 Motorola Master Selection Guide
L2 CACHE
INTERFACE
L2

MEMORY 60x PROCESSOR


INTERFACE INTERFACE
MEMORY 60x BUS

POWER MANAGEMENT

ERROR/INTERRUPT
CONTROL

CONFIGURATION
REGISTERS

Figure 9. MPC106 Block Diagram

Motorola Master Selection Guide 2.4-17 The PowerPC RISC Family Microprocessor
The PowerPC RISC Family Microprocessor 2.4-18 Motorola Master Selection Guide
Single-Chip
Microcontrollers (CSIC)

In Brief ...
Motorola offers the most comprehensive selection of Page
high-performance single-chip control systems available M68HCOS CSIC Family ......................... 2.S-2
from a single source. Microcontroller device families range M68HC08 Family .............................. 2.S-13
from industry-standard 8-bit controllers to state-of-the-art Development Tools ........................... 2.5-14
16- and 32-bit modular controllers. Within the price and On-Line Help ........ . . . . . . . . . . . . . . . . . . . . . . . .. 2.S-22
performance categories of each family, there are a variety of
on-chip capabilities to match specific applications.
Motorola device families are structured so that upward
migration need not involve complete code development.
The M68HC11 Family is upward code compatible with
M6800 and M6801 software, while the M68HC16 family is
source-code compatible with the M68HC11 family.
Motorola's newest 8-bit MCU product line, the M68HC08
family, is fully upward object code compatible with the
M68HCOS and M680S families. In addition, M68300 and
M68HC 16 devices share standard internal modules and
bus configurations.

Motorola Master Selection Guide 2.5-1 Single-Chip Microcontrollers (CSIC)


M68HC05 CSIC Family
It all started with the 68HC05 Family, and Motorola's CSIC 68HC05 P-Family. Born out of the CSIC design concept,
(Customer-Specified Integrated Circuits) approach to this farnily offers an extrernely cost-cornpetitive 28-lead
microcontroller design. Today, customers can selectfrom over family of microcontrollers with a variety of ROM sizes and
70 mask ROM 68HC05 devices and over 30 one-time special features such as Serial Input/Output Port (SlOP) to
programmable (OTP) 68HC705 devices - and that number control display drivers and comrnunicate with other
is growing all the time, as Motorola continues to develop peripherals. Other options include AID input and on-chip
derivatives of the 68HC05 based on customer demand. EEPROM for non-volatile data storage. Low-voltage and
With so many standard 68HC05 microcontrollers from high-speed versions are also available.
which to choose, most customers will find the right device for The flagship 68HC(7)08XL36 OTP and ROM versions are
an application among these existing devices. For some the first two devices in the 68HC08 Family and are intended
high-volume applications, however, a customer may opt for for general purpose uses.
Motorola to develop a new derivative to meet an application's
precise requirements. The result is a new microcontroller Low-Voltage Microcontrollers
which can then be added to the selection of standard devices. The 68HC05 Family has been capable of 3.0 V operation
since 1980 and includes some 2.2 V selections. Recently,
M68HC05 Industry Solutions Motorola announced several 68HC05 microcontrollers
Motorola's 68HC05 and 68HC08 Families consist of a capable of 1.8 Vdc and 500 kHz operation. This new
variety of microcontroller designs to meet the requirements of low-voltage capability affords a greater than threefold power
a broad range of applications. The 68HC05 Family, already savings over 3.0 V versions of the same chips, a significant
over 100 devices strong, offers a wide range of standard design consideration for any portable electronic application.
products from which to choose, while the flagship 68HC08 The new devices are collectively designated 68HCL05 and
offers a large library of modules from which derivatives can be include the following versions: 68HCL05C4, C8, C12, J1A,
developed. KO, PI, and P4. They are designed to provide lower-power
control technology to accommodate trends in portable
68HC05 General-Purpose applications toward compactness, lightweight deSign, and
extended battery life.
M icrocontrollers
68HC05 C-Family. These flexible, general-purpose Automotive
devices feature a wide variety of memory options capable of 68HC05 B-Family. EEPROM memory in these devices
handling complex programs. On-Chip SCI provides makes it possible to store information that must be retained
asynchronous communications, with software-selectable after the power is removed. Applications include electric seat
baud rates from 75 Hz to 131 kHz. The high-speed, control (storage of seat positions) and audio systerns (storage
synchronous 4-wire serial system SPI is ideal for driving of radio stations).
off-chip displays and peripherals. 68HC05 C- and O-Families. These general-purpose
All C-Family devices include a powerful 16-bit microcontrollers are used for cruise control, ignition systems,
free-running programmable counter in conjunction with input and in-car entertainment systems.
capture and output compare functions for simultaneous input 68HC05 J-, K-, and P-Families. With their low pin count
waveform measurement and output waveform generation. A and low cost, these devices are ideal for automotive
watchdog timer guards against runaway software in noisy applications such as car alarms, power windows, keyless
environments. entry, and air bags.
The high-packing density of Motorola's HCMOS process 68HC05 V- and X-Families. Both these groups contain
allows standard devices to run at bus frequencies up to integrated automotive multiplex interfaces that allow them to
2.1 MHz. Motorola also offers high-speed versions which run talk to other electronic modules within a vehicle. The V series
at frequencies up to 4.2 MHz from an 8.4 MHz crystal or adds an on-chip voltage regulator.
external clock. Low-voltage versions are available for
applications requiring extremely low power consumption to Computer
extend battery life or rninirnize heat dissipation.
68HC05 BO-Family. These devices are ideal for computer
68HC05 J-Family. This 20-pin family provides a low-cost,
monitor applications. They include a horizontal and vertical
low pin count, 8-bit upgrade for existing 4-bit applications. It
sync processor as well as 16 channels of pulse-width
combines a powerful 68HC05 CPU with a flexible, 15-stage
modulation.
multifunction timer and real-tirne interrupt capability.
68HC05 C-Family. These are general purpose devices for
68HC05 K-Family. Our lowest-cost family offers a 16-pin
keyboard and monitor control.
count and is appropriate for logic replacement.

Single-Chip Microcontroliers (CSIC) 2.5-2 Motorola Master Selection Guide


68HC05 J-, P-, and E-Families. These low-cost, low pin 68HC05 C-Family. This group of microcontrollers has
count devices are appropriate for applications like a cordless proven useful as a general-·purpose device for
PC mouse and trackball. communications applications.
68HC05 E-Family. Like the 68HC05 B-Series devices
Consumer E-Series devices are ideal for number storage and keyboard
68HC05 C- and D-Families. The multiple communication interrupt applications.
lines (I/O ports, SCI and SPI) and free-running timer in this 68HC05 F-Family. These devices - except for the F5,
group of devices make it possible to execute several tasks in which features an integrated DTMF receiver - include an
parallel. These features are used in consumer products like on-chip Dual-Tone Multi-Frequency Generator (DTMG) for
CD players, automotive entertainment systems, and remote digital transmission and reception, as well as an LED drive for
controls. user information. These features make the F-Family suitable
68HC05 J-, K-, and P-Families. The free-running timer for a number of telecommunications applications, including
in these cost-effective microcontrollers allows multitasking in auto dialing, number storage, and display control.
applications such as washing machines, oven controls, and 68HC05 J- and P-Families. These low pin count,
remote controls. low-cost microcontrollers have a variety of
68HC05 L-Family. These low-power, small-footprint telecommunications uses, with features ranging from
devices can drive large LCD displays, making them ideal for EEPROM to multifunction timers.
hand-held consumer products like portable CD players. 68HC05 L-Family. With its large LCD driving capability
and low power consumption, this series is well-suited to
Industrial applications in hand-held communication equipment. The
on-chip tone generator and display functions can be used in
68HC05 B-Family. On-chip features include EEPROM; pager systems to alert users to incoming messages.
8-channel, 8-bit AID converter; and Pulse Length Modulated
outputs. Typical industrial applications include Programmable Television and Video
Logic Controllers (PLC) and data acquisition systems.
68HC05 C- and D-Families. These general-purpose 68HC05 B-Family. These devices are ideal for EEPROM
devices can be used in applications such as process control storage, with 256 bytes of EEPROM to store TV or satellite
systems where multiple I/O lines and LED outputs are channel frequencies and preset volume or brightness levels.
required. Features include Analog-to-Digital (AID) conversion and
68HC05 J- and P-Families. These devices are popular in PWM.
low-cost industrial applications such as smoke detectors, 68HC05 C- and D-Families. With up to 32K of user ROM,
security devices, thermostats, and furnace ignition systems. these devices can be used in the television and video market
68HC05 L-Family. Multi-port controllers with LCD driver, as general-purpose microcontrollers.
16-bit timer and watchdog timer on board. Excellent for 68HC05 CC-Family. Evolved from the T-Series,
display panels requiring tone output and low power CC-Series devices feature closed-caption Data Slicer (DSL)
consumption such as thermostats and alarms. and enhanced OSD features for decoding and displaying
68HC705MC4. This device is intended for use in industrial closed captions.
motor control and power supply applications. 68HC05CO. This device has no on-chip user ROM, but is
68HC05 X-Family. These devices have Controlled Area capable of addressing up to 64K of external memory, making
Network (CAN) controllers with 4K thru 32K ROM for it ideal for applications that require large amounts of operating
integrated messaging on factory automation, sensor, and code, like televisions. The 12C bus module and 4 MHz internal
switch applications. bus speed also allow interconnection with standard TV
peripherals.
Telecommunications 68HC05 K- and RC-Families. These devices are used in
remote control applications.
68HC05 B-Family. These devices can store 68HC05 T-Family. All T-Family devices have On Screen
user-programmable telephone numbers in 256 bytes of Display (OSD) modules that can overlay graphical images
non-volatile EEPROM memory. They can also communicate onto television screens. They also contain D/A converters that
with analog inputs like battery life in hand-held equipment, can drive analog outputs like volume control, and AID
using the AID module. The D/A module can be used to control converters that can be used to automatically adjust the fine
analog outputs such as telephone volume and line cards. tuning. Some members of the T-Series have 12C interfaces
that can communicate with industry-standard TV peripherals.

Motorola Master Selection Guide 2.5-3 Single-Chip Microcontrollers (CSIC)


« PA7'
0::
USER ROM-1248 BYTES LU
I-
(JJ PA6'
a
LU PAS'
0:: «
USER RAM - 64 BYTES z b: PA4'
0
F 0 PA3"
() a.
LU
0:: PA2"
is
PAl"
~ PAO"
'"
'8 rnA SINK CAPABILITY
"EXTERNAL INTERRUPT CAPABILITY

ARITHMETIC/LOGIC
CPU CONTROL UNIT
ACCUMULATOR
IRQ
M68HCOS
11 111 11 1 1 <Xl
0::
RESET MCU INDEX REGISTER LU
t;:; PBS
11 111 1 11 1 a
LU PB4
STACK POINTER 0:: <Xl
Z
b: PB3
101010101010101011111 1 1 0
F 0
a. PB2
()
PROGRAM COUNTER LU
0:: PBI
10101010101 1 1 1 1 1 1 1 1 1 1 1 is
PBO
CONDITION CODE
~
REGISTER '"

COP WATCHDOG
AND
ILLEGAL ADDRESS
DETECT

POWER

OSCI
OSC2

Figure 1. MC68HCOSJ1A Block Diagram

Single-Chip Microcontrollers (CSIC) 2.5--4 Motorola Master Selection Guide


PA7
PA6
PA5
PA4
PA3
EPROM/OTPROM - 2112 BYTES PA2
PAl
PAO

BOOTLOADER ROM - 240 BYTES

PB7JSCK
PB6/SDI
RAM - 128 BYTES
PB5/SDO

IRQlVpp
PC7/VRH
PC6/ANO
RESET _~J""""'
PC5/AN1
M68HC05CPU PC4/AN2
PC3/AN3
CPU REGISTERS
PC2
I ACCUMULATOR I
PCl
I INDEX REGISTER I
10 10 I 010 10 I 010 1011 11 I STACK POINTER I PCO
10 10 101 PROGRAM COUNTER I
CONDITION CODE 11111 llH II I NIZICI
REGISTER

TOADC
OSC1 AND PD5
OSC2 SlOP PD7!TCAP

TCAP
VDD -----..j
VSS ----+I POWER TIMER
CLOCK
TCMP

Figure 2. MC68HC705P9 Block Diagram

Motorola Master Selection Guide 2.5-5 Single-Chip Microcontrollers (CSIC)


68HC05 MICROCONTROLLERS
All 68HC05 products have a standard operating voltage range from 3 V to 5.5 V unless noted in Comments.
All 68HC05 products have a standard operating temperature range from 0 - 70°C.
Contact a Motorola Sales Office for availability of extended temperature versions.

Table 5. 68HC05 Microcontrollers


Motorola
Part ROM RAM EEPROM Olsplay
Number (Byles) (Bytes) (Bytes) Timer Serial AID PWM Drive UO COP Comments Packages
MC6BHC05B4 4K 176 16-bil: SCI+ Bch 2ch 24 Vo t/ 56SDIP-B
(2IC,20C) (IH:>H) (IH:>H) 8i 52 PLCC-FN
20 64QFP-FU

MC68HC05B6 6K 176 256 l6-bit: 8CI+ 8ch 2ch 24 Vo t/ On-Chip Charge Pump 56SDIP-B
(2IC,20C) (IH:>H) (IH:>II) 8i EEPROM Write Protect 52 PLCC- FN
20 64QFP-FU

MC6BHC05B8 7.2SK 176 256 16-bil: SCI+ 8ch 2ch 24 Va t/ On-Ghip Charge Pump 56SDIP-B
(2IC,20C) (IH:>H) (IH:>II) 8i EEPROM Write Protect 52 PLCC- FN
20 64QFP-FU

MC6BHC05B16 15K 352 256 l6-bit: SCI+ Bch 2ch 24 Va t/ On-Ghip Charge Pump S6SDIP-B
(2IC,20C) (IH:>H) (IH:>H) 8i EEPROM Write Protect 52 PLCC-FN
20 64 QFP- FU

MC68HCOSBD3 3.7SK 12B MFT, RTI 12C 16ch 24 Va t/ Horizontal and Vertical Sync 40DIP-P
(lH:>it) Signal Processor 42SDIP-B

MC6BHC05C4A 4K 176 16-bit: SPI 24 Vo t/ KBI (8 pins) 40 DIP- P


(1IC, WC) SCI 7i 1 High Current Pin (5 rnA sink) 44 PLCC-FN
Mask Option Pullups 44 QFP- FB
High Speed Option (HSCOSC4A) 42SDIP-B
Low Power Option (HCLOSC4A)
(1.8 V minimum)

MC68HC05C5 5K 176 128 16-blt: SlOP 32 Vo t/ 8 High Current Pins (10 rnA 40DIP-P
(1IC,10C) sink) LV?I, On-Chip Charge 44 PLCC-FN
Pump

MC68HC05C8A BK 176 16-blt: SPI 24 Vo t/ KBI (8 pins) 40DIP-P


(1IC,10C) SCI 7i 1 High Current Pin (5 rnA sink) 44 PLCC- FN
Mask Option Pullups 44 QFP- FB
High Speed Option (HSC05CBA) 42SDIP-B
Low Power Option (HCL05CBA)
(1.8 V minimum)
MC68HC05C9A 16K 352 l6-bit: SPI 24 Va t/ KBI (B pins) 40DIP-P
(1IC, WC) SCI 7i 1 High Current Pin (5 rnA sink) 44 PLCC-FN
Mask Option Pullups 44QFP-FB
High Speed Option (HSC05C9A) 42 SDIP- B
Low Power Option (HCL05C9A)
MC68HC05C12 12K 176 16-bit: SCI 24 Vo t/ 1 High Current Pin (20 rnA sink) 4ODIP-P
(1IC,10C) SPI 7i KBI (8 pins) 44 PLCC-FN
Mask Option Pullups (8 pins) 44QFP-FB
High Speed Option (HSC05C12) 42 SDIP-B
Low Power Option (HCL05C12):
(1.8 V minimum)
MC6BHCOSCC1 16K 544 IH:>H: 12C 1 ch 8ch OSD 31 Vo Closed Caption Television 40 DIP-P
Pulse (5-bH) (6-b~) (127 Char NTSC Data Slicer w/int Sync Sep 42SDIP-B
Accum. ROM) 28 MHz PLL
MFT 8 Open Drain 1/0 Pins, 5 V Only

MC68HC05CC2 31.5K 92B IH:>H: 12C 1 ch 8ch OSD 31 ilo Closed Caption Television 42SDIP-B
Pulse (5-bit) (IH:>H) (127 Char NTSC Data Slicer wlint Sync Sep 40DIP-P
Accum, ROM) 32 MHz PLL
MFT 8 Open Drain 1/0 Pins, 5 V Only

MC68HC05CJ4 4K 224 16-bit: SPI 24 Va t/ 12C (Slave Only) 44 QFP- FB


(1IC, WC) SCI
MFT 12C

MC68HC05D9 16K 352 11H:>H: SCI Sch 31 Vo t/ 8 High Current Pins (25 rnA sink) 40DIP-P
(1IC.10C) (6-bit) 30 kHz PWM 44 PLCC- FN

MC68HC05D24 24K 352 16-b~: SCI 5ch 31 Va t/ 8 High Current Pins (24 rnA sink) 4ODIP-P
(1IC.10C) (6-bit) 30kHz PWM 44PLCC-FN

XC6BHCOSD32 32K 352 16-bH: SCI 5ch 31 Vo t/ 8 High Current Pins (24 rnA sink) 40 DIP-P
(1IC,1OG) (IH:>H) 30 kHz PWM 44 PLCC-FN

MCBBHC05E1 4K 368 MFT. RTI 201/0 t/ 32 kHz PLL Clock Synthesizer 28DIP-P
28S0IC-DW

MCBBHC05E6 6K 12B 160 16-bit: 4ch 32 i/o t/ KBI (B pins) 44 QFP- FB


(1IC, WC) (8-bH) 4i Pin for Extemal LVI 2BSOIC-DW
MFT, RTI

Single-Chip Microcontrollers (CSIC) 2.5-6 Motorola Master Selection Guide


Table 5. 68HC05 Microcontrollers (continued)
Motorola
Part ROM RAM EEPROM Display
Number (Bytes) (Bytes) (Bytes) Timer Serial AID PWM Drive I/O COP Comments Packages
MC68HC05F5 5K 224 MFT, RTI 30 ilo 01 DTMF Receiver 40 DIP - P
11 Mask IRQ 44 PLCC - FN

MC68HC05F6 4K 320 16-bit: SPI 26 ilo DTMF Generator 42SDIP-B


(1IC, WC) 4I 8 High Current Pins (10 rnA sink) 44QFP - FB
20 KBI (6 pins)

MC68HC05F8 8K 320 16-bit: SPI 50 ilo 01 DTMF Generator 64 QFP - FU


(1IC, WC) 20 KBI (8 pins)
16-bit: Manchester Encoder/Decoder
auto a High Current Pins (10 rnA sink)

MC68HC05G1 8K 176 16-bit: SPI 4 ch 40 i/o 01 32 kHz PLL - Standby modes 56SDIP-B
(1IC, WC) (8--bit) 8I 64 QFP - FU
RTC

MC68HC05G3 24K 768 16-bit: Dual 8 ch 4 ch 48 i/o 01 KBI (8 pins) 80 QFP- FU


(1IC, WC) SPI (8--bll) (8-btt) 16 i Dual Oscillators - Selectable
B-bit: 40 Clock
Event Cntr Dual IRQ

MC68HC05J1A 12K 64 MFT, RTI 14 i/o 01 KBI (4 pins) 20 DIP - P


4 High Current Pins (8 rnA sink) 20S0IC-OW
Mask Option Pulldowns (14 pins)
High Speed Version (HSC05J 1A)
Low Power Version (HCL05J1A):
(1.8 V minimum)

MC68HC05J3 2K 128 16-bit: 14 ilo 01 14 High Current Pins (8 mA sink) 20DIP-P


(1IC, tOC) KBI (4 pins) 20S01C- DW
MFT, RTI

MC68HC05KO O.5K 32 MFT, RTI 10 i/o 01 4 High Current Pins (8 mA sink) 16 DIP - P
Programmable Pulldowns 16S0IC-DW
(10 pins)
Low Voltage Reset Mask Option
Low power version (HCL05KO):
(1.8 V minimum)

MC68HC05K1 O.5K 32 MFT, RTI 10 ilo 01 4 High Current Pins (8 mA sink) 16DIP-P
PEP (64 bits) 16 SOIC-DW
Programmable Pulldowns
(10 pins)
Low Voltage Reset Mask Option

XC68HC05K3 920 64 16 PEEP MFT, RTI 10 i/o 01 KBI (4 pins), 16 DIP - P


Programmable Pulldowns 16S0IC-DW
(10 pins)
4 High Current Pins (8 mA sink)
On-Chip Charge Pump
1.8 V Operating Voltage

MC68HC05L1 4K 128 l6-bit: 6 ch 64 Segment 17 i/o 56SDIP-B


(2IC,20C) (8--bit) LCD: 15 i 64 QFP - FU
(3/4 x 12116) 20

MC68HC05L2 2K 96 16-bit: I ch 45 Segment 13 i/o 01 Programmable Pullups (13 pins) 42SDIP-B


(tlC,IOC) (8--bit) LCD:
MFT, RTI (3 xiS)

MC68HC05L5 8K 256 16..-.bit: SlOP 156 Segment 14 ilo 01 KBI (8 pins), Dual Oscillators 80 QFP - FU
(1IC, tOC) LCD: 10i 8 High Current Pins (10 mA sink)
RTI (1-4 x 27-39) 150 Programmable Putlups (24 pins),
B-bit: Open Drain (31 pins), 2.2 V
(1IC,10C)

MC68HC05L7 6K 176 16-bit: SCI 960 Segment 15 i/o Mux EBI (13..-.bit Address), 128 QFP - FT
(tiC, WC) LCD: 32 kHz PLL, KBI (8 pins), Die
RTC (8116 x 60) LVI Tone Generator

MC68HC05L9 6K 176 16-bit: SCI 640 Segment 27 i/o Mux EBI (16..-.bit Address), 128 QFP - FT
(1IC,10C) LCD: 2I 32 kHz PLL, KBI (8 pins), Die
RTC (8116 x 40) LVI Expand LCD to 3K Segments
w/68HC68L9, Tone Generator

MC68HC05L 10 13K 352 l6-bit: SPI 5K - 20K Pixel 28 ilo Mux EBI w/MMU (20-bit 128 QFP- FT
(lIC,10C) SCI LCD Address) Die
RTC 4 Chip Selects, KBI (8 pins)
Tone Generator/OTMF, 32 kHz
PLL
LCD Expansion w/MC141511

Motorola Master Selection Guide 2.5-7 Single-Chip Microcontrollers (CSIC)


Table 5. 68HC05 Microcontrollers (continued)
Motorola
Part ROM RAM EEPROM Display
Number (Bytes) (Bytes) (Bytes) Timer Serial AID PWM Drive 110 COP Comments Packages
MC68HC05L 11 3K 448 16-bit: SPI Up to 4QK 38 i/o Mux ESI w/MMU {23-bit 100 QFP- FU
(1IC, toC) SCI Pixel LCD Address)
RTC 4 Chip Selects, KBf (8 pins)
Tone Generator/DTMF, 32 kHz
PLL
LCD Expansion with MC141512
+ MC141514
MC68HC05L 16 16K 512 l6-bit: SlOP 156 Segment 16 i/o KBI (8 pins), Dual Oscillators 80QFP-FU
(1IC, toG)
RTI
LCD:
(1-4 x 27-39)
8i
150
'" 8 High Current Pins (10 rnA sink)
Programmable Pullups (24 pins)
8-bil: Open Drain (31 pins), 2.2 V
(1IC, toG) Operation

MC68HC05M4 4K 128 l6-bit: 6 ch VFD (24 lines) 32 i/o 5 V Only 52 PLCC- FN


(1IC, toC)
8-bit
(8--lJit) 8i '"
Modulo

MC68HC05P1A 2K 128 16-bit: 20 ilo KBI (8 pins) 28 DIP - P


(1IC, laC) Ii '" Mask Option Pullups (8 pins)
2 High Current Pins (20 mAl
28 SOIC-DW

MC68HC05P3 3K 128 128 l6-bit: 22 i/o KBI (6 pins) 28 DIP - P


(1IC, toG)
MFT, RTI
'" On-Chip Charge Pump 28 SOIC-DW

MC68HC05P4 4K 176 16-bit: SlOP 20 i/o High Speed Option 28DIP-P


(1IC, laC) Ii '" (68HSC05P4)
Low Power Option
28S0IC-DW

(68HCL05P4):
(1.8 V minimum)

MC68HC05P6 4.5K 176 16-bit: SlOP 4 ch 20 Vo 28 DIP- P


(1IC, toG) (8-bit) Ii '" 28 SOIC-DW

MC68HC05P7 2K 128 16-bit: SlOP 20 ilo 28 DIP- P


(1IC, toC) Ii '" 28S0IC-DW

MC68HC05P8 2K 112 32 MFT, RTI 4 ch 16 i/o LVPI Option on EEPROM 28DIP-P

MC68HC05P9 2K 128 l6-bit: SlOP


(8--bit)

4 ch
4i

20 i/o
'" On-Chip Charge Pump 28S0IC-DW

28DIP-P

MC68HC05PEO 2K 128
(1IC, toG)

l6-bit:
(8-bit) Ii

20 ilo
'" 1 High Current Pin (20 mA sink)
28 SOIC-DW

28DIP-P
(tiC, toG) '" PEP (64 bits), KBI (8 pins)
Mask Option Pulldowns (8 pins)
28 SOIC- DW

RC Oscillator Option

XC68HC05RC16 16K 350 Infrared 12 i/o Mask Option Pullups (12 pins) 28 DIP- P
Timer '" KBI (12 pins), Low Power Stop
Pin
28 SOIC- DW

MC68HC05SCll 6K 128 5 i/o Security Features, 8K EPROM Die


Smartcard Applications, 5 V Only 16DIP-P
20S0IC-DW

MC68HC05SC21 6K 128 3K 5 i/o Security Features Die


On-Chip Charge Pump 16DIP-P
Smartcard Applications 20S0IC-DW

MC68HC05SC24 3K 128 lK 5 ilo Security Features Die


On-Chip Charge Pump 16DIP-P
Smartcard Applications 20S0IC-DW

MC68HC05SC27 16K 240 3K


'"
5 ilo Security Features Die
On-Chip Charge Pump l6DIP-P
Smartcard Applications 20 SOIC-DW
High Speed Option

XC68HC05SC28 12K 256 8K 5 i/o Security Features, Die


'" On-Chip Charge Pump
Smartcard Applications
44 PLCC- FN

High Speed Option

MC68HC05Tl 8K 320 16-bit SlOP 1 ch 9 ch OSD 40 DIP- P


'"
29 ilo Open Drain PWM Outputs
(1IC, lOG) (6--bit) (6--bit) (64 Char Ii 5 V Only 42 SDIP- B
ROM)

XC68HC05T2 15K 320 l6-bit: SlOP 1 ch 9 ch OSD 29 ilo Open Drain PWM Outputs 40 DIP- P
(1IC, toC) (6-bit) (6-bit) (64 Char
ROM)
Ii '" 5 V Only 42SDIP-B

MC68HC05Tl0 12K 320 l6-bit: 12C 1 ch 8 ch OSD 20i/o Open Drain PWM Outputs 56SDIP-B
(1IC, toG) (8--lJit) (&-bit) (64 Char 4i KBI (8 pins)
RTC 1 ch ROM) 5 VOnly
(14--lJit)

Single-Chip Microcontrollers (CSIC) 2,5--8 Motorola Master Selection Guide


Table 5. 68HC05 Microcontrollers (continued)
Motorola
Part ROM RAM EEPROM Display
Number (Bytes) (Bytes) (Bytes) Timer Serial AID PWM Drive VO COP Comments Packages
MC68HC05T16 24K 320 16--bit: 12C 2ch 9 ch OSO 40 Vo tI' 12 V Open Drain 1/0 lines 56 SDlP-B
(1IC,20C) (5-bil) (7-bn) (128 Char (up to 22)
8-bitPAC 1 ch EPROM) 4 row aso buffer
(14-hit) Timer output compare functions
do not have output pins

MC68HC05X1 12K 336 16--bit: SSI 24 i/o tI' KBI (8 pins) 44 PLCC-FN
(1IC,20C) SAE Jl850 Serial Mux Interface
MFT, RTI 5 V Operation Only
MC68HC05X4 4K 176 l6-bit: 16 i/o tI' CAN (Controller Area Network) 28S0IC-OW
(1IC, tOC) KBI (t6 pins)
MFT, RT!

MC68HC05X16 15K 352 255 16-bit: SCI+ 8ch 2 ch 32 i/o tI' CAN (Controller Area Network) 64QFP-FU
(2IC,20C) (8-bit) (8-bit) KBI (8 pins)
EEPROM Write Protect
On-Chip Charge Pump

MC68HC05X32 32K 528 255 16-bit: SCI+ 8ch 2 ch 32 i/o tI' CAN (Controller Area Network) 64QFP-FU
(2IC,20C) (8-bit) (8-bit) KBI (8 pins)
EEPROM Write Protect
On-Chip Charge Pump

Motorola Master Selection Guide 2.5-9 Single-Chip Microcontrollers (CSIC)


ONE-TIME PROGRAMMABLE (OTP) I EMULATOR MCUs
All 68HC705 products have a standard operating voltage range from 3 V to 5.5 V unless noted in Comments.
All 68HC705 products have a standard operating temperature range from 0 - 70°C.
Contact a Motorola Sales Office for availability of extended temperature versions.

Table 6. One-Time Programmable (OTP)/Emulator MCUs


Motorola
Part EPROM RAM EEPROM Display
Number (Bytes) (Byles) (Bytes) 11mer Serial AID PWM Drive UO COP Comments Packages
MC68HC70585 6K 176 16-blt: SCI+ 8ch 2ch 24 Va Programmable Pul1downs 56SDIP-B
(2IC,20C) (S-bit) (S-bit) 8i
20
'" (16 pins)
EPROM Write Protect
52PLCC-FN

MC68HC705B16 15K 352 255 16-bit: SCI+ 8ch 2ch 52PLCC-FN


'"
32 Va On-Chip Charge Pump
(2IC,20C) (8-bit) (8-bit) 20 EEPROM Write Protect *52 Cerquad-FS
64 QFP- FU

XC68HC705B32 2ch 52 PLCC-FN


'"
32K 528 255 16-bit: SCI+ 8ch 32 Vo On-Chip Charge Pump
(2IC,20C) (8-bit) (S-bit) EEPROM Write Protect 56SDIP-B
64QFP- FU

MC68HC70SBD3 7.75K 256 MFT, ATI 12C 16ch Horizontal and Vertical Sync 42 SDIP-B
'"
24i1o
(S-bit) Signal Processor *42 Cersdip - K
40DIP-P
*40Cerdip-S

24 i/o Mask Option Register Pullups 40 DIP- P


MC68HC705C4A 4K 176 16-bit:
(1IC,10C)
SPI
SCI 7i '" (8 pins)
KBI (8 pins)
44PLCC-FN
42 SDIP-B
1 High Current Pin (20 rnA sink) 44 QFP- FB
EPROM Security
MC68HC705CBA 8K 304 16-bit: SPI 24 i/o Mask Option Pullups (8 pins) 40 DIP- P
(1IC,10C) SCI 7i '" KBI (8 pins)
1 High Current Pin (20 rnA sink)
44PLCC-FN
*40 Cerdip-S
High Speed Option (HSC705C8A) 42 SDIP- B
Superset of ROM GSA with more 44 QFP- FB
RAM *44 Cerquad - FS
EPROM Security

MC68HC705C9A 16K 352 1S-b.: SPI 31 ilo Mask Option Pullups (8 pins) 40 DIP- P
(1IC,1OC) SCI '" KBI (8 pins)
1 High Current Pin (20 rnA sink)
"40 Cerdip-S
"44 Cerquad-FS
EPROM Security 44PLCC-FN
42 SDIP- B
44QFP-FB

MC68HC705CJ4 4K 224 SPI 29 Vo 8 High Current Pins (lOrnA sink) 44QFP-FB


'"
16-bit:
(1IC,10C) SCI 3i 12C (Slave Only)
MFT 12C

XC68HC705D9 16K 352 SCI 5ch 31 Vo 8 High Current Pins (25 rnA sink) 40DIP-P
'"
16-bit:
(1IC,1OC) (6-bit) 30 kHz PWM '44 Cerquad- FS
44 PLCC- FN

MC68HC705E1 4K 368 MFT, ATC 20 Vo *28Cerdip-S


'"
32 kHz PLL Clock Synthesizer
RTI 28 DIP- P
28S0IC-DW

XC68HC705F6 4K 320 16-bit: SPI 26 Vo DTMF Generator 42 SDIP- B


(1IC,10C) 4i 8 High Current Pins (10 rnA sink) *42 Cersdip - K
KBI (6 pins) 64 QFP-FU
'64CQFP-FZ

MC68HC705F8 8K 320 50 ilo 64 QFP-FU


'"
16-bit: SPI DTMF Generator
(1IC.1OC) 20 KBI (8 pins) '64CQFP-FZ
16-bit: 8 High Current Pins (10 rnA sink)
auto Manchester Encoder/Decoder

MC68HC705G1 12K 176 16-b.: SPI 4 ch 40 Vo 32 kHz PLL 56SDIP- B


(1IC,1OC)
ATC
(8-bit) 8i '" *56 Cersdip - K
64QFP- FU
'64 CQFP- FZ

MC68HC705G4 32K 1024 Dual 48Vo KBI (8 pins) 80QFP-FU


'"
16-bit: 8ch 4ch
(1IC,10C) SPI (S-bit) (S-bit) 16i Dual IRQ '80CQFP-FZ
6-bit: 40 Dual Oscillators, Selectable Clock
Event Cntr

MC68HC705J1A 1.2K 64 MFT, RTI 14 Vo KBI (4 pins), EPROM Security 20DIP-P


'" Feature
4 High Current Pins (8 rnA sink)
20SOIC-DW
'20 Cerdip-S
Mask Option Pulldowns (14 pins)

MC68HC705J2 2K 112 MFT. ATI 14 Vo 20DIP-P


'" 2OSOIC-DW
*20Cerdip-S

Single-Chip Microcontrollers (CSIC) 2.5-10 Motorola Master Selection Guide


Table 6, One-Time Programmable (OTP)/Emulator MCUs (continued)
Motorola
Part EPROM RAM EEPROM Display
Number (Bytes) (Bytes) (Bytes) Timer Serial AID PWM Drive "0 COP Comments Packages
MC68HC705K1 0.5K 32 MFT, RTI 10 Vo 4 High Current Pins (8 rnA sink) 16DIP-P
'" PEP (64 bits)
Programmable Pulldowns (10 pins)
16S0IC-DW
*16Cerdip-S
Low Voltage Reset Mask Option

XC68HC705L1 6K 128 16-bit: 6 ch 64 Segment 17 ilo 56SDIP-B


(2IC,20C) (8-bn) LCD: 15i 64 QFP- FU
(314 x 12116) 20 '64CQFP-FZ
*56 Cersdip - K

MC68HC705l5 8K 256 16-bit: SlOP 156 Segment 14 i/o KBI (8 pins), Dual Oscillators SOQFP-FU
(1IC, WC) LCD: 10 i 8 High Current Pins (10 rnA sink) 'SOCQFP-FZ
RTI (1-4 x 27-39) 150 Programmable Pullups (24 pins)
8-bit: Open Drain (31 pins)
(1IC, WC)

MC68HC705L16 16K 512 16-1>~: SlOP 156 Segment 16 ilo KBI (8 pins), Dual Oscillators 80QFP- FU
(HC,10C)
RTI
LCD:
(1-4 x 27-39)
8i
150
'" B High Current Pins (10 rnA sink)
Programmable Pullups (24 pins)
'SO CQFP- FZ

8-I>~: Open Drain (31 pins)


(HC, WC)

MC68HC705P6 4.5K 176 16--bit: SlOP 4ch 20 ilo 28DIP-P


(HC, WC) (8-1>0) 1; '" 2BS01C-DW
*28 Cerdip - S

MC68HC705P9 2K 128 SlOP 4ch 20 ilo 28DIP-P


'"
16--bit:
(HC, WC) (a-b~) 1i 28SOIC-DW
"'28 Cerdip-S

MC68HC705T10 12K 320 l6-bit: 12C 1 ch 8ch OSD 20 ilo Open Drain PWM Outputs 56 SDIP-B
(HC, WC) (8-1>~) (6-1>it) (64 Char 4i KBI (8 pins) *56 Cersdip - K
RTC 1 ch EPROM) 5 V Only
(14-b~)

24K 16-1>~: 12C 9 ch 40 i/o 12 V Open Drain 110 Lines 56 SDIP- B


MC68HC705T16 320
(HC,20C)
a-bit PAC
2ch
(5-bIT) (7-1>it)
1 ch
OSD
(128 Char
EPROM)
'" (Up to 22)
4 Row aso Buffer
*56 Cersdip - K

(14-bit) Timer output compare functions


do not have output pins
XC68HC705V8 12K 512 128 16-1>~: SPI 8ch 1 ch 22 ilo LVR, On Chip Charge Pump, 56 SDIP-B
(HC, WC)
MFT, RTI
(B-b~) (6-1>it) " MOLe (Message Datalink
Control)
68 PLCC- FN
68CLCC-FS
5 V Regulator, KBt (16 pins) 56 Cersdip - K

16-bit: 16i1a CAN (Controller Area Network) 28SOIC-DW


XC68HC705X4 4K 176
(HC, WC)
MFT, ATI
'" KBI (16 pins)

"
'Wlndowed packages available only In sample quantities.

Definitions Package Definitions


CAN - Controller Area Network oc - Output Compare 8 - Shrink DIP (70 mil spacing)
CCTV - Closed Caption Television OSD - On-Screen Display DW - Small Outline (Wide-Body SOIC)
COP - Computer Operating Properly (Watch Dog Timer) PEEP - Personality EEPROM FA - 7 x 7 mm Quad Flat Pack (OFP)
DTMF - Duat-Tone Multi-Frequency PEP - Personality EPROM FB - 10 x 10 mm Quad Flat Pack (OFP)
EBI - External Bus Interface PIO - Parallel Input Output (IBM PC/AT Type) FE - CQFP (windowed) - Samples Only
IC - Input Capture PLL - Phase-Lock Loop FN - Plastic Quad (PLCC)
12C - Inter-Integrated Circuit PWM - Pulse-Width Modulation FS - CLCC (windowed) - Samples Only
IDE - Integrated Device Electronics (18M PCIAT Type) RTC - ReaJ-TIme Clock FT - 28 x 28 mm Quad Flat Pack (QFP)
ilo - Bidirectional Input and Output Port Pins RTI - Real-Time Interrupt FU - t 4 x 14 mm Quad Flat Pack (QFP)
i - Input Only Port Pins SCI - Serial Communications Interface (asynchronous) FZ - COFP (windowed) - Samples Only
KBI - Key Board Interrupt SCI+ - Serial Communications Interface (async. and sync.) K - Cersdip (windowed) - Samples Only
LCD - Liquid Crystal Display SIO - Serial Input Output (IBM PC/AT Type) L - Ceramic Sidebraze
LVI - low Voltage Interrupt SlOP - Simple Serial 1/0 Port P - Dual-in-Line Plastic
LVPI - Low Voltage Program Inhibit SPI - Serial Peripheral Interface S - Cerdip (windowed) - Samples Only
LVR - Low VoHage Reset VFD - Vacuum Fluorescent Display
MDLC - Message Data Link Controller (J1850) VREG - Voltage Regulator
MFT - Multi Function Timer WDOG - Watch Dog TImer
- Output Only Port Pins

Motorola Master Selection Guide 2,5-11 Single-Chip Microcontroliers (CSIC)


MCU NEW PRODUCTS
All 68HCOS and 68HC70S products have a standard operating voltage range from 3 V to S.S V unless noted in Comments.
All 68HCOS and 68HC70S products have a standard operating temperature range from 0 to 70°C.
Contact a Motorola Sales Office for availability of the following MCUs:

Table 7. MCU New Products


Motorola RaMI
Part EPROM RAM EEPROM Display
Number (Bytes) (Bytes) (Bytes) Timer Serial AID PWM Drive va COP Comments Packages
68HCOSB32 32K 528 256 16-bit: SCI+ 8ch 2ch 32 Vo II' On--Chip Charge Pump 52PLCC-FN
(2IC,20C) (B-bit) (B-btt) EEPROM Wrne Protect 56SDIP-B
640FP-FU
BBHCOSBD5 7.7SK 256 MFT, ATI 12C 16ch 24 i/o II' Horizontal and Vertical Sync Signal 4ODIP-P
(B-btt) Processor 42 SDIP-B
68HC05CO 0 512 16-bit: SCI+ 18 Vo II' Mux or Non-Mux EBI (16-bit) 44PLCC-FN
(lIC, lOG) 3 Chip selects, KBI (8 pins) 40 DIP- P
MFT Programmable Pullups (8 pins) 42 SDlP-B
1 High Current Pin (20 rnA sink)
68HCOSE16 16K 352 320 16-btt: Dual 4ch 47 Vo II' KBI (8 pins) 440FP-FB
(2IC,20C) 12C (B-blt) 2i LVI 64 OFP- FU
MFT, RT1 32 kHz Programmable PLL 56SDIP-B
Perodic Interrupt (0.25, 0.5, 1 s)
68HC80SK3 64 920 MFT, ATI 10ilo II' KBI (4 pins), Programmable 16DIP-P
16PEEP Pulldowns (10 pins), 4 High Current 16S0IC-DW
Pins (8 rnA sink), On-Chip Charge
Pump, 1.8 V EE Read
68HCOSP7A 2K 128 16-bit: SlOP 20 Vo II' KBI (8 pins) 28 DIP- P
(1IC,10C) 2 High Current Pins 28S0IC-DW
(15mA sink)
68HC05P9A 2K 128 16-bit: SlOP 4 ch 20ilo II' KBI (8 pins) 28 DIP- P
(1IC,10C) (B-bH) 2 High Current Pins 28S0IC-DW
(15 mAsink)
BBHCOSSC26 6K 224 1024 Silo II' Smartcard Security Features die
On-Chip Charge Pump 44PLCC-FN
High Speed Option
68HC05V7 10K 384 128 lB-bH: SPI 8ch 1 ch 22 ito II' MDLC (Message Datalink Control) 56SDIP-B
(lIC, lOC) (8-bH) (6-bit) 16i 5 V Power Regulator BBPLCC-FN
MFT, RTI KBI (16 pins)
LVR
68HC705E5 5K 384 MFT, ATI 12C 20 Vo II' 32 kHz PLL Clock Synthesizer 28 DIP- P
'28 Cerdip-S
28S0IC-DW
68HC705MC4 3.5K 176 16-bit: SCI 6ch 2 hi sp 22 i/o II' 1 8-Bit High Current Port 28DIP-P
(2lCor (B-bit) (B-bH (10 mA Source Pin, 20 mA Max/Port) '28 Cerdip - S
lIC,10C) 24kHz 1 High Sink Current Pin (10 mAl 28S0IC-DW
MFT, ATI Max) Low EMI Pinout
Commutation Mux for PWM
Industrial Motor Control
68HC705RC16 16K 350 Infrared 12 ilo II' Mask Option Pullups (12 pins) 28DIP-P
Timer KBI (12 pins) '28 Cerdip - S
28S0IC-DW
68HC70SRC17 16K 350 Infrared 12 ito II' Mask Option Pullups (12 pins) 28DIP-P
Timer KBI (12 pins) *28 Cerdip - S
Phase-Locked Loop (PLL) 28S0IC-DW
68HC705SR3 4K 192 8-bitTimer 4ch 24 Vo Mask Option Pullups (24 pins) 40DIP-P
(7-hit (B-bit) KBI (8 pins), LED Drive (8 pins), LVR *40 Cerdip - S
prescaler) 42SDIP-B
440FP-FB
68HC70SX32 32K 528 255 16-bit: SCI+ 8ch 2ch 32 Va II' CAN (Controller Area Network) 640FP-FU
(21C,20C) (B-bit) (8-bit)
BBHC08XL36 36K lK 4 ch 16-bit: SCI 43 Vo II' 8 MHz Intemal Bus (5 V) 56SDIP-B
(IC,OC,or SPI Direct Memory Access Module (3 ch) 640FP-FU
PWM) Programmable PLL, LVIILYR
KBI (8 pins),
Programmable Pullups (8 pins)
68HC708XL36 36K lK 4 ch 16-bit: SCI 43 Vo II' 8 MHz Internal Bus (5 V) 56SDIP-B
(IC,OC,or SPI Direct Memory Access Module (3 ch) *56 Cersdip - K
PWM) Programmable PLL, LVI/LVR 64 OFP-FU
KBI (8 pins), '64CQFP-FE
Programmable Pullups (8 pins)

Single-Chip Microcontrollers (CSIC) 2.5-12 Motorola Master Selection Guide


M68HC08 Family V"-~
The M68HC08 Family offers a unique combination of
i'rrv'
SERIAL
high-speed, low-power, enhanced processing performance
ROM/EPROM PERIPHERAL
for cost-sensitive 8-bit applications. Full upward object code INTERFACE
compatibility with the world's leading 8-bit microcontroller
allows current M68HC05 users to leverage their resource and
time investment. M68HC08 modular design utilizes a growing

~::>
library of on--chip peripherals. The flagship 68HC(7)08X36
OTP and ROM versions for general purpose use are the first
two devices in the family.
SERIAL
Features RAM
COMMUNICATIONS
• Architecturally Enhanced 8-Bit CPU INTERFACE
• 8 MHz bus speed yields 125 ns minimum instruction
cycle
• 18-bit stack with stack pointer operations and
addressing modes
• 16-bit index register
• 78 new instructions including advanced looping control
• Eight new addressing modes
K==> TIMING
• Fully upward object code compatible with the M68HC05 INTERFACE
CPU08 MODULE
and M6805 families
• Direct Memory Access Module
• Memory-ta-memory transfer
• Peripheral-ta-memory and memory-ta-peripheral

~r
transfer
• Timing Interface Module
• Four independently programmable channels
• Input capture, output compare, buffered, and
unbuffered PWM configurations
• Interface Modules
• Serial Communications Interface (UART)
• Serial Peripheral Interface
e CLOCK
GENERATOR
MODULE
(
V
SYSTEM
CONTROL
MODULE
DIRECT
MEMORY
ACCESS
MODULE

• System Interface Module


• System Control Modules
• Low Voltage Inhibit, PLL, COP, and System
Figure 3. Block Diagram of Typical M68HC08 MCU
Integration Module
• Clock Generator Module
• Generates two different clock signals from a
user-selected source

Motorola Master Selection Guide 2.5-13 Single-Chip Microcontrollers (CSIC)


process. Or, create an MMDS system to add
M68HC05 Microcontroller high-performance, advanced emulation features such as
real-time, dual-ported memory and a real-time bus state
Development Tools analyzer with an 8K trace buffer. In addition, the MMDS
includes a built-in power supply and is fully enclosed in a
Motorola now offers two fully modular development system
metal case. Both the MMEVS and MMDS include a
choices: the new Motorola Modular Evaluation System
host-based Integrated Development Environment (IDE)
(MMEVS) and our popular, high-performance Motorola
comprised of an editor, assembler, and hardware debugger.
Modular Development System (MMDS). You can now build a
customized MMEVS or MMDS to emulate the MCU in your
target design in four simple steps. First, order the MMEVS or
Modular Architecture Benefits
MMDS system platform (M68MMPFB0508 or M68MMDS05). The MMEVS replaces Motorola's older-style EVS and
Second, select and order the emulation module (EM) that EVM development tool products. A proper subset of the
contains circuitry specific to emulating the particular HC05/08 MMDS architecture, the new MMEVS is fully compatible with
MCU in your target application. Third, complete the system by all EM products supported by the MMDS. The MMEVS
ordering target cable accessories to connect the MMEVS or extends the emulation performance beyond that of the EVS
MMDS to your target MCU socket. Finally, select the and EVM by supporting full, real-time, non-intrusive,
appropriate parallel programmer to program your prototype in-circuit emulation for the new high-speed devices
devices. (68HSC05) in the HC05 Family and the new HC08
architecture. The MMEVS also extends emulation support to
Choosing Between the MMEVS all low-voltage HC05/HC08 derivatives. The common
hardware, firmware, and software design of the MMEVS and
and MMDS MMDS also provide greater flexibility in mixing and matching
Build an economical MMEVS system to perform traditional Motorola hardware tools with the ever-increasing variety of C
debugging activities such as executing code in run or step compilers, assemblers, and integrated development
mode; setting breakpoints; monitoring or modifying CPU environment product offerings from Motorola's third party
registers, memory and application variables; and creating log developer companies.
or script files to record test results or automate the testing

CONFIGURATION AND ORDER INFORMATION FOR MMDS/MMEVS


Table 8. Configuration and Order Information for MMOSIMMEVS
In-Circuit Target Cable
Emulation Low Noise Target Head Surface Mount
Devices Platform Modules Package Type Flexcable Adapter Adapter
68HC05A16 M68MMPFB0508 QB M68EM05A24 56SDIP- B M68CBL05B M68TB05A24B56
68HC705A24 M68MMDS05
68HC05B4IB6/B8/B161B32 M68MMPFB0508 OR M68EM05B32 56 SDIP- B M68CBL05B M68TB05B32B56
68HC705B5/B161B32 M68MMDS05
640FP-FU M68CBL05C M68TC05B32FU64 M68TOS064SAGIt
M68TOP064SAIt
52 PLCC-FN M68CBL05C M68TC05B32FN52
68HC05BD3IBD5 M68MMPFB0508 OR M68EM05BD3 40 DIP-P M68CBL05B M68TB05BD3P40
68HC705BD3IBD5 M68MMDS05
42SDIP-B M68CBL05B M68TB05BD3B42
68HC05BS8 M68MMPFB0508 OR M68EM05BS8 440FP- FB M68CBL05C M68TC05BS8FB44 M68TOS044SAGlt
68HC705BS8 M68MMDS05 M68TOP044SAMOIt
52 PLCC-FN M68CBL05B M68TB05BS8FN52
68HC05CO M68MMPFB0508 QB M68EM05CO 40 DIP-P M68CBL05B M68TB05COP40
M68MMDS05
42 SDIP-B M68CBL05B M68TB05COB42
44PLCC-FN M68CBL05 M68TC05COFN44
440FP- FB M68CBL05C M68TC05COFB44 M68TOS044SAGlt
M68TOP044SAMOIt
68HC05C5 Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
68HC705C5 the 68HC05C5/68HC705C5.

Single-Chip Microcontrollers (CSIC) 2.5-14 Motorola Master Selection Guide


Table 8. Configuration and Order Information for MMDSIMMEVS (continued)
In-Circuit Target Cable
Emulation Low Noise Target Head Surface Mount
Devices Platform Modules Package Type Flexcable Adapter Adapter
68HC05C4IC4A1C8A1C12A M68MMPFB0508 QE! M68EM05C9 40 DIP-P M68CBL05B M68TB05C9P40
68HC705C4A1705CBA M68MMDS05
44PLCC-FN M68CBL05C M68TC05C4FN44
440FP- FB M68CBL05C M68TC05C9FB44 M68TOS044SAG1t
M68TOP044SAM01t
42SDIP-B M68CBL05B M68TB05C9B42
68HC05C9/C9A M68MMPFB0508 Q.B M68EM05C9 40 DIP-P M68CBL05B M68TB05C9P40
68HC705C9 M68MMDS05
44PLCC-FN M68CBL05C M68TC05C9FN44
42SDIP-B M68CBL05B M68TB05C9B42
44 OFP-FB M68CBL05C M68TC05C9FB44 M68TOS044SAG1t
M68TOP044SAM01t
68HC05CCV Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
68HC705CCV the 68HCOSCCV/68HC705CCV.
68HC05CJ4 M68MMPFB0508 QE! M68EM05CJ4 440FP- FB M68CBL05C M68TC05CJ4FB44 M68TOS044SAG1t
68HC705CJ4 M68MMDS05 M68TOP044SAM01t
68HC05D9/D241D32 M68MMPFB0508 OR M68HC05D32EM 40DIP-P M68CBL05B M68TB05C9P40
68HC705D9 M68MMDS05
44PLCC-FN M68CBL05C M68TC05C9FN44
44 OFP- FB M68CBL05C M68TC05C9FB44 M68TOS044SAG1t
M68TOP044SAM01t
68HC05E6 M68MMPFB0508 OR M68EM05E6 28S0IC-DW M68CBL05C M68TC05E6P28 M68DIP28S0lC
68HC705E6 M68MMDS05
440FP-FB M68CBL05C M68TC05E6FB44 M68TOS044SAG1t
M68TOP044SAM01t
68HC05F4 M68MMPFB0508 QE! M68EM05F4 28 DIP-P M68CBL05C M68TC05E6P28
68HC705F4 M68MMDS05
28S0IC-DW M68CBL05C M68TC05E6P28 M68DIP28S0lC
44 OFP-FB M68CBL05C M68TC05E6FB44 M68TOS044SAG1
M68TOP044SAM01t
68HC05F6 M68MMPFB0508 OR M68EM05F6 42 SDIP-B M68CBL05B M68TB05F6842
68HC705F6 M68MMDS05
440FP-FB M68CBL05C M68TC05F6FB44 M68TOS044SAG1t
M68TOP044SAM01t
64 OFP- FU M68CBL05C M68TC05F6FU64 M68TQS064SAG1t
M68TQP064SAM01t
68HC05F8 Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
68HC705F8 the 68HC05F8I68HC705F8.
68HC05G1 M68MMPFB0508 QE! M68EM05G1 56 SDIP- B M68CBL05B M68TB05G1 B56
68HC705G1 M68MMDS05
64QFP- FU M68CBL05C M68TC05G1 FU64 M68TOS064SAG1t
M68TQP064SAM01t
68HC05G3 M68MMPFB0508 OR M68EM05G4 80 OFP- FU M68CBL05E M68TE05G4FU80 M68TQS080SBG1t
68HC705G4 M68MMDS05 M68TOP080SBM01t
68HC05J1 M68MMPFB0508 QE! M68HC05JPEM 20DIP-P M68CBL05A M68TA05J2P20
68HC705J2 M68MMDS05
20S0IC-DW M68CBL05A M68TA05J2P20 M68DIP20S0lC
68HC05J1A M68MMPFB0508 OR M68EM05J1A 20 DIP-P M68CBL05A M68TA05J2P20
68HC705J1A M68MMDS05
20S0IC-DW M68CBL05A M68TA05J2P20 M68DIP20S0lC
68HC05J3 M68MMPFB0508 OR M68EM05J3 20DIP-P M68CBL05A M68TA05J2P20 M68DIP20S0lC
68HC705J3 M68MMDS05
20S0IC-DW M68CBL05A M68TA05J2P20
68HC05KO/K1/K3 M68MMPFB0508 QE! M68EM05K3 16 DIP-P M68CBL05A M68TA05K1 P16
68HC705K1 M68MMDS05
16S0IC-DW M68CBL05A M68TA05K1 P16 M68DIP16S0lC
68HC05L1 M68MMPFB0508 QE! M68EM05L1 56 SDIP-B M68CBL05B M68TB05L1B56 M68TQS064SAG1t
68HC705L1 M68MMDS05
640FP-FU M68CBL05C M68TC05L1FU64 M68TOP064SAM01t
68HC05L2 M68MMPFB0508 QE! M68HC05L2EM 42 SDIP-B 42-SDIP ribbon cable assembly included with M68HC05L2EM.
68HC705L2 M68MMDS05

Motorola Master Selection Guide 2.5-15 Single-Chip Microcontrollers (CSIC)


Table 8. Configuration and Order Information for MMDSJMMEVS (continued)
In-Circuit Target Cable
Emulation Low Noise Target Head Surface Mount
Devices Platform Modules Package Type Flexceble Adapter Adapter
68HC05L5IL16 M68MMPFB0508 OR M68EML05L16 80 QFP- FU M68CBL05E M68TEOSL16FU80 M68TQS080SBG1t
68HC70SLS/L 16 M68MMDSOS M68TQP080SBM01t

68HCOSL7/L9 Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
68HC705L10 the 68HCOSL7/L9, 68HCOSL 10, 68HC05L11, or 66HCOSM4.
68HCOSL11
68HCOSM4
68HCOSP3 M68MMPFBOS08 OR M68EMOSP3 28 DIP-P M68CBLOSA M68TAOSX4P28
M68MMDSOS
28S0IC-DW M68CBLOSA M68TAOSX4P28 M68DIP28S0lC
68HC05P8 M68MMPFB0508 M68HCOSJPEM 28 DIP- P M68CBLOSA M68TAOSP8P28
28S0IC-DW M68CBLOSA M68TAOSP8P28 M68DIP28S0lC

68HC05P1/P4IP6/P7/P9 M68MMPFBOS08 OR M68HC05P9EM 28 DIP-P M68CBLOSA M68TAOSP9P28


68HC70SP6/70SP9 M68MMDSOS (Included with
MMDS) 28S0IC-DW M68CBL05A M68TAOSP9P28 M68DIP28S0lC

68HC05RC16 M68MMPFB0508 OR M68EM05RC16 28 DIP- P M68CBL05A M68TA05RC16P28


68HC705RC16 M68MMDS05
28S0IC-DW M68CBLOSA M68TA05RC16P28 M68DIP28S0lC

68HC05SC11/SC21/SC241 CONTACT die/card ISO Adapter Included w/EM.


SC27 SALES OFFICE
68HC05SR3 M68MMPFB0508 OR M68EM05SR3 40 DIP-P M68CBL05B M68TB05SR3P40
68HC705SR3 M68MMDS05
44 QFP- FB M68CBL05C M68TC05SR3FB44 M68TQS044SAG1t
M68TQP044SAM01t
42SDIP-B M68CBL05B M68TB05SR3B42

68HCOST11T2 Refer to the Configuration and Order Information for Other Motorola Development Tools Section to select a development tool for
the 68HC05T11T2.

68HC05T10 M68MMPFB0508 QB M68EM05T7 56SDIP-B M68CBL05B M68TB05T7B56


68HC70ST10 M68MMDS05

68HCOSV7 M68MMPFBOS08 OR M68EMOSV8 56 SDIP-B M68CBLOSB M68TBOSV8BS6


68HC70SV8 M68MMDS05
68 PLCC- FN M68CBLOSB M68TBOSV8FN68

68HCOSX4 M68MMPFBOS08 OR M68EM05X4 28DIP-P M66CBL05A M68TA05X4P28


68HC70SX4 M68MMDSOS
28S0IC-DW M68CBLOSA M68TAOSX4P28 M68DIP28S0lC
68HC05X16/X32 M68MMPFBOS08 OR M68EML05X32 64QFP-FU M68CBLOSE M68TE05X32FU64 M68TQS064SAG1t
68HC70SX32 M68MMDSOS M68TQP064SA1t
..
• Development tools that are scheduled for availability dUring 1Q96.
t To support more than one QFP target system, separate purchase of additional TQPACKs is required. Contact your Motorola representative for details.
Each QFP target head includes one TQSOCKET with guides (M68TQSOxxSyG1) and one TQPACK disposable surface mount adapter (M68TQPOxxSy1 (1.2 mm lead
length) or M68TQPOxxSyM01 (1.6 mm lead length». Order additional TQSOCKETs and TQPACKs using part numbers referenced in the Surface Mount Adapters
column to support multiple target systems. Contact your Motorola representative for details.

Single-Chip Microcontroliers (CSIC) 2.5-16 Motorola Master Selection Guide


CONFIGURATION AND ORDER INFORMATION FOR OTHER MOTOROLA
DEVELOPMENT TOOLS (EVM/EVS/ICS)
Table 9. Configuration and Order Information for Other Motorola Development Tools (EVM/EVSJICS)
In-Circuit Target Cable
Low Noise Target Head Surface Mount
Devices Development Tool Package Type Flexcable Adapter Adapter Comments
68HC05C5 M68HC05C5EVS 40 DIP-P Not Available For DIP package user must
68HC705C5 supply a ribbon cable assembly
to interlace to user's target
system.

44 PLCC- P Not Available For PLCC package, user has


the option to order
44PLCC05M, which is the
old-iltyle ribbon cable assembly
with PLCC target adapter.

68HC05CCV Order 42 SDIP-B M68CBL05B M68TB05CCVB42


68HC705CCV M68HC05CCVEM
and M68HC05PFB
44 QFP- FB M68CBL05C M68TC05CCVFB44 M68TQS044SAGIt
M68TQP044SAMOlt
68HC05J1A M68HC705JICS 20 DIP- P 20 DIP Ribbon Cable Assembly Included With M68HC705JICS M68HC705KICS In-Circuit
68HC705J1A Simulator
20S0IC-DW See Above M68DIP20S0lC For the SOIC package, user
may order M68DIP20S0IC,
which is a 2o-pin DIP to SOIC
adapter.

68HC05KO/KI M68HC705KICS 16 DIP-P 16 DIP Ribbon Cable Assembly Included With M68HC705KICS M68HC705KICS In-Circuit
68HC705Kl Simulator
16S0IC-DW See Above M68DIP16S0lC For the SOIC package, user
may order M68DIPI 6S01C,
which is a 16 pin DIP to SOIC
adapter.

68HC05L7/L9 M68HC05L9EVM2 128QFP-FT Not Available


68HC05Ll0 M68HC05L 1OEVM 128QFP-FT Not Available
68HC05Lli M68HC05LtI EVM 100QFP-FU Not Available
68HC05M4 M68HC05M4EVM 52 PLCC-FN Not Available For PLCC package, user has
the option to order 52PLCCU,
which is the old-style ribbon
cable assembly with PLCC
target adapter.

68HC05Tt IT2 M68HC05T2EVS 40 DIP- P Not Available For DIP/SDIP package, user
must supply a ribbon cable
assembly to intertace to user's
target system.
42 SDIP-B Not Available
44 PLCC- FN Not Available For PLCC package, user has
the option to order
44PLCC05M, which is the
old--iltyle ribbon cable assembly
with PLCC target adapter.

Motorola Master Selection Guide 2.5-17 Single·Chip Microcontroliers (CSIC)


CONFIGURATION AND ORDER INFORMATION FOR PROGRAMMERS
Table 10. Configuration and Order Informat/on for Programmers
Devices Packages Supported Programmer Boards Comments

68HC705B5IB161B32 52 PLCC- FN M68HC05BPGMR For QFP package, order M68HC705X32PGMR.


56SDIP-B
68HC705BD3 40 DIP- P M68HC705UPGMR M68HC705UPGMR requires package adapter.
42SDIP-B For 40 DIP - P, order M68UPA05BD3P40.
For 42 SDIP - B, order M68UPA05BD3B42.
68HC705C4NC5IC8/C8NC9 40 DIP-PIS M68HC05PGMR-2 Order M68ADT05P40FB44 adapter to program
44 PLCC - FNiFS 44QFP-FB.

68HC705D9 40 DIP-PIS M68HC05PGMR-2 Order M68ADT05P40FB44 adapter to program


44 PLCC - FNiFS 44QFP-FB.

68HC705E6 44QFP-FB M68HC705E6PGMR


28S0IC-DW
68HC705F6 64 QFP - FU/FZ M68HC705F6PGMR64
42SDIP-B/K
68HC705F8 64 QFP - FU/FZ M68HC705F8PGMR
68HC705G1 56SDIP-B M68HC705G1 PGMR
64QFP-FU
68HC705J1A 20DIP-P M68HC70SJICS M68HC705JICS In-<:ireuit simulator.
SOIC requires user supplied socket or adapter. (Available
from Yamaichi, part number IC51-Q282-334-1)
68HC705J2IJ3 20 DIP-PIS M68HC70SJ2PGMR SOIC requires user supplied socket or adapter. (Available
from Yamaichi, part number IC51-Q282-334-1)
68HC705K1 16DIP-P/S M68HC705KICS M68HC705K1 GANG Programs up to 8 68HC705K1 S or P.
M68HC705K1GANG
16S0IC-DW·· M68HC705K1 GANGY M68HC705K1GANGY Programs up to 8 68HC705K1 S, P,
or OW.
68HC705L1 56 SDIP-BIK M68HC705L1PGMR
64 QFP - FU/FZ
68HC705L5/L16 80 QFP - FU/FZ M68HC705L5PGMR
68HC705P3 28DIP-P M68HC705E6PGMR
28S0IC-DW
68HC705P6IP9 28 DIP-PIS M68HC705P9PGMR SOIC requires user supplied socket or adapter.
68HC705SR3 40 DIP- P M68HC05SR3PGMRSG M68HC05SR3PGMRSG requires package adapter.
42 SDIP-B For 40 DIP - P, order M68HC05SR3PAP40.
44QFP-FB For 42 SDIP - B, order M68HC05SR3PAB42.
For 44 QFP - FB, order M68HC05SR3PAFB44.
68HC705T10 56 SDIP-B/K M68HC705T10PGMR
68HC705X4 28 DIP-PIS M68HC705X4PGMR
28S0IC-DW
68HC705V8 56 SDIP-B M68HC705V8PGMR
68PLCC-FN
68HC705X32 64QFP-FU M68HC705X32PGMR
68 PLCC-FN
..
'Development tools that are scheduled for availability dunng 1096.
··SOIC on M68HC705K1 GANGY only.

Single-Chip Microcontroliers (CSIC) 2.5--18 Motorola Master Selection Guide


THIRD PARTY DEVELOPERS FOR 68HC05 AND 68HC705 FAMILY MCUs
Table 11. Third Party Developers for 68HCOS and 68HC70S Family MCUs

I Programmers Sunrise Electronics


System General
USA
USA
(909) 595-7774
(800) 967-4776
Advin Systems Inc. USA (408)243-7000 Corporation (408) 263-6667
(800)627-2456 Japan 81-3-3441-1510
Canada: France +332015-1133
Eastern (514) 337-0723 Germany +41 1982-2050
Western (604) 986-1286
TECI (The Engineers USA (800)-336-8321
France +3313961-1414
Collaborative Inc.) (802) 525-3458
Germany +497459-1271
UK +44 1332-32651 Tribal Microsystems, Inc. USA (510) 623-8859
Hong Kong (852)833-5188 Asia 886-2-764-0215
Ascend Systems Inc. USA (510) 606-2000 Vel Electronic Germany +49851-751427
(800) 541-3526
Austria! +432772-54581 ICElEvaluation Boards
Germany
American Arium USA (714) 731-1661
France +33148619528
Ashling Microsystems USA (508) 366-3220
BP Microsystems USA (800) 225-2102 (Eastern Systems)
(713) 688-4600 . UK +441628-773070
Canada (905) 602-8550 France +3314666-2750
UK +441280-700262 Germany +49 8233-32681
France +3316941-2801
Dr. Krohn & Stiller Germany +49896100-0022
Germany +49-8856-832616
UK +441235-861461
Hong Kong 852-234-166-11
USA (320) 617 9400
Tokyo 81-3-3817-4980
Emulation Technology, France +3316941-2801
Bytek USA (407) 994-3520
Inc. USA (408) 982-0660
Netherlands, +3116248-Ql00
UK, Belgium UK +44 1234-266455
+441962-733140
France +33 16930-2880
Germany +49 89460-2071
Germany 496181-75041
+498104-7044
Hong Kong 852 29198282
iSystem GmbH Germany +498131-25083
Circuit Equipment USA (216) 951-8840
Corporation USA (408) 982-0660
UK +44 1734-575666
(Emulation
France +336185-5767
Technology Inc)
Data 110 USA (206) 88Hl444 France +3362-072-954
(800) 426-1045 (ISIT Societe)
Canada (905) 678-Q761
MetaLink Corporation USA (602) 926-0797
France +35 80502-3300
UK +441491-455907
Germany +33-31956-8131
Canada (613) 226-2365
Hong Kong 49-89-858-580
Hong Kong 896-2-501-6699
Japan 81-3-3779-2151
Germany +498091-55950
Netherlands +31-402-582-911
France +331-39-3956-8131
UK +44-1734-440011
Orion Instruments USA (408) 747-0440
E.E. Tools Inc. USA (408) 734-8184
Canada (416) 609-8396
Canada
(Multitest Elect Inc.)
Mexico 52-5-705-7422
France +331-30-54-2222
France +33 16930-2880 (BSO France SA)
Germany +49 89834-3047
Japan 81-538-322822 Pentica Systems USA (800) PENTICA
(617) 275-4419
Emulation France +3316941-2801
UK +44 0734-792101
Technology, Inc. USA (408) 982-0660
Germany +497147-3085
UK +44 1234 266455
+441962-733140 Sophia Systems Japan (044) 989-7000
Germany +49 89-4602071 USA (800) 824-9294
+4981-047044 Vel Electronic Germany +4985175-1427
Logical Devices USA (800) 331-7766 Yokogawa Digital Japan 81-422-56-9101
Computer Corp USA (408) 747-0400
Nash Electronics USA (501) 289-6111
(Orion Instruments)
Needham's Electronics USA (916) 924-8037

Motorola Master Selection Guide 2.5-19 Single-Chip Microcontrollers (CSIC)


AssemblerslLinkers/Debuggers P & E Microcomputer USA (617) 353-9206
Systems, Inc
2500 Software Inc. USA (719) 395-8683
PseudoCorp USA (541) 683-9173
France +33 7443-8045
Software Development USA (708) 368-0400
(CK Electronique)
+336185-1914 Systems (SDS) UK +44 1442-876065
(Societe L.S.I.T.) Japan +81 (0) 3 3493 7981
UK +44 1364-654100 Asia-Pac. +61 (0) 3 720 5344
(Greymatter) Germany +49 2534-800170
+4417183-31022 (H S PGmbH)
(System Science) TECI (The Engineers USA (802) 525-3458
American Arium USA (714) 731-1661 Collaborative Inc.) (800) 336-8321
Archimedes Software, USA (206) 822-8300
Inc.
Compiler/Real-Time Kernel
Avocet Systems, Inc. USA (207) 236-9055
(800) 448-8500 Archimedes Software, USA (206) 822-6300
BSOTasking USA (617) 894-7800 Inc.
(800) 458-8276 Avocet Systems, Inc. USA (207) 236-9055
France +33 1-3054-2222 (800) 448-8500
UK +441252-510014 BSO Tasking USA (617) 894-7800
Germany +49 71-5222090 (800) 458-8276
Byte Craft Ltd. USA (519) 888-6511 France +33 1-30542222
Cosmic Software USA (617) 932-2556 UK +44 1252-510014
Europe/lntnl +33 143-995390 Germany +49 71-5222090
UK +44 1734-880241 Byte Craft Ltd. USA (519) 888-6511
HIWARE USA (206) 827-4832 Cosmic Software USA (617) 932-2556
(Archimedes) Europe/lntnl +33 143-995390
France +33 16013-3668 UK +44 1734-880241
(CK Electronique Avnet
Embedded System USA (713) 728-9688
Group)
Products, Inc. Europe +33-143-995-390
Germany +4161331-7151
(Cosmic Software)
(HIWARE)
+497031-2895-38 Hi-Tech UK +44-0734-792-101
(Diessner) (distributed by Avocet (Pentica)
UK +44 1734-792101 in USA) Germany +49-7147-3085
(Pentica) (Pentica)
+44 1962-733140 HIWARE USA (206) 827-4832
(Nohau) (Archimedes)
Japan 81 3-3293-4716 France +33 16013-3668
(Lifeboat) (CK Electronique Avnet
IAR Systems USN (415)-765-5500 Group)
Canada Germany +4161331-7151
Germany +49 89470-6022 (HIWARE)
UK +44 171924-3334 +497031-2895-38
France +1-39-61-14-14 (Diessner)
Hong Kong 2687-1931 UK +441734-792101
Japan 03-293-4711 (Pentica)
(Lifeboat) +44 1962-733140
(Nohau)
Introl Corp. USA (414) 327-7171
Japan 81 33293-4716
(800) 327-7171
(Lifeboat)
UK +44171-8331022
(System SCience)
France +33 7443-8045
(CK Electronique)
+33 14622-9988
(Micro Sigma SA)
Japan (81) 3 256 5881
(Soft Mart Inc.)
Germany +49 81 04-9074
(Lauterbach GmbH)

Single-Chip Microcontrollers (CSIC) 2.5-20 Motorola Master Selection Guide


Miscellaneous Software and Hardware Support Emulation France +3316941-2801
Technology, Inc. USA (408) 982-0660
AMP Incorporated USA (717) 564-0100 (adapters) UK +44 1234 266455
(sockets) (800) 522-6752 +441962-733140
Canada (905) 475-6222 Germany +49 89-4602071
Mexico (525) 729-0400 +4981-047044
Europe +44 1753-676-800
USAR Incorporated USA (212) 226-2042
Asia/Pacific (81) 44-613-8502 (keyboard encoders)
Aptronix USA (408) 428-1888 Yamaichi Elec. Inc. USA (408) 456-0797
(fuzzy logic dev.)
(sockets)
McKenzie (now part of USA (510) 6512700
Berg Electronics) Germany +4989150-1001
(adapters, sockets) (Infratron GmbH)
France +33 14594-1424
(Green Components)
UK +44 1295-271777
(Toby Electronics)
+441501-44434
(Neltronic Ltd.)

Motorola Master Selection Guide 2.5-21 Single-Chip Microcontrollers (CSIC)


On-Line Help
CSIC Microcontroller Division Freeware Bulletin Board
World Wide Web Site The Freeware Data Services are now mirrored on the CSIC
WWW site for easy access. Customers unable to access the
Internet can still access the Freeware development software
http://design-net.com/csiclCSIC_home.html and applications software by dial-up modem at 2400 to 9600
baud. To log in:
The CSIC WWW pages provide a direct line to the latest
information and software for 68HC05 and 68HC08 1. Make sure to set character format to 8-bits, no parity,
microcontrollers. The web site provides access to: 1 stop bit

The Latest News and Press Releases 2. Dial (512) 891-FREE (512-891-3733)

Product, Market, and Development Tool Overviews 3. Follow directions from the system
On-Line MCU and Development Tool Selector Guides The Freeware files are also accessible by anonymous FTP
server:
On-Line Datasheets and Application Notes
freeware.aus.mot.com
Development Tool Software Upgrades
(use email address for password)
Free Development Software
Applications Software
3rd Party Development Tool Information
On-Line Technical Support

Single-Chip Microcontrollers (CSIC) 2.5-22 Motorola Master Selection Guide


Single-Chip
Microcontrollers (AMCU)

In Brief ...
Motorola offers the most comprehensive selection of Page
high-performance single-chip control systems available M68HCll Family ............................... 2.6-2
from a single source. Microcontroller device families range Modular Microcontroller ........................ 2.6-12
from industry-standard 8-bit controllers to state-<>f-the-art The M68HC16 Family ....................... 2.6-14
16- and 32-bit modular controllers. Within the price and The M68300 Family ......................... 2.6-19
performance categories of each family, there are a variety of Development Tools ........................... 2.6-23
on-chip capabilities to match specific applications. Fuzzy Logic .................................. 2.6-26
Motorola device families are structured so that upward On-Line Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.6-26
migration need not involve complete code development. Third-Party Support ........................... 2.6-27
The M68HC11 Family is upward code compatible with
M6800 and M6801 software, while the M68HC16 family is
source-code compatible with the M68HC11 family.
Motorola's newest 8-bit MCU product line, the M68HC08
family, is fully upward object code compatible with the
M68HC05 and M6805 families. In addition, M68300 and
M68HC16 devices share standard internal modules and
bus configurations.

Motorola Master Selection Guide 2.6-1 Single-Chip Microcontrollers (AMCU)


M68HC11 Family
The M68HC11 Family incorporates a flexible central eight input channels, and most offer 8-bit resolution, although
processing unit and a large number of control-oriented some provide 1G-bit resolution. A 2 channel, 8-bit DIA is also
on-chip peripherals. M68HC11 MCU are upward code available.
compatible with M6800, M6801, and M68HCOS software.
Pulse-Width Modulation
Central Processing Unit Some M68HCII Family members have up to six channels
The M68HC11 CPU is optimized for low power of 8-bit PWM. At a 4 MHz bus frequency, signals can be
consumption and high-performance operation at bus produced from 40 KHz to less than 10Hz. PWM signals with
frequencies up to 4 MHz. Key features include: a period greater than one minute are possible in the 16-bit
• Two 8-bit or one 16-bit accumulator mode.
• Two 16-bit index registers
• Powerful bit-manipulation instructions Serial Communication
• Six powerful addressing modes
All members of the M68HCll Family include a Serial
• Immediate, Extended, Direct, Indexed, Inherent, and
Peripheral Interface (SPI) and a Serial Communications
Relative
Interface (SCI). These on--chip peripherals are designed to
• Power saving STOP and WAIT modes
minimize CPU intervention during data transfer.
• Memory mapped 1/0 and special functions
• The SCI is a full duplex UART-type asynchronous
• 16x16 Integer and Fractional Divides
system that uses standard Non-Return-to-Zero (NRZ)
• 8x8 Multiply data format. An on-chip Baud rate generator derives
standard rates from the microcontroller oscillator. Both
Timer transmitter and receiver are double buffered.
M68HC11 timer architecture is based on a 16-bit free • The SPI is a four-wire synchronous communications
running counter driven through a software-programmable interface used for high-speed communication with
prescaler. Features include multiple Input Captures, Output specialized peripheral devices and other microcontrollers.
Compares, Real-Time Interrupt, Pulse· Accumulator, and Data is transmitted and received simultaneously; the
Watchdog functions. Baud rate is software programmable.

On-Chip Memory
Since its introduction, the M68HC11 Family has provided
Digital va and .Special Functions
M68HC11 Family I/O is extremely flexible, allowing pins to
versatile combinations of popular memory technologies, be configured to match application requirements. Most I/O
including the first EEPROM on a CMOS microcontroller. The lines are controlled by bits in a Data Direction Register (DDR)
family has a memory option to fit virtually any application. which can configure pins for either input or output. Most lines
• ROM sizes range from 0 to 32K bytes. ROM is typically have a dedicated port data latch.
factory programmed to contain custom software. Some M68HC11 Family members include a 4--channel
ROMless versions of most M68HC11 Family members Direct Memory Access (DMA) and a Memory Management
are also available. Unit (MMU). The DMA provides fast data transfer between
• RAM sizes range from 192 bytes to 1.2SK bytes. memories and registers, and includes externally mapped
M68HCII RAM utilizes a fully static design, and all memory in the expanded mode. The MMU allows up to 1
devices feature a standby power supply pin for battery megabyte of address space in a physical 64 kbyte allocation.
back-up of RAM contents. Integrated chip selects help to reduce glue logic.
• EPROM sizes range from 4K to 32K bytes. EPROM is Several members of the M68HC11 Family also include
especially suited to prototype development and small programmable chip select circuits. These circuits can be used
production runs. EPROM versions are available in both to enable external peripherals whenever an access to a
windowed and OTP packaging. predefined block of memory addresses is made. These
• EEPROM sizes range from 0 to 2K bytes. EEPROM is circuits help to reduce extemallogic requirements.
ideal for storage of calibration, diagnostic, data logging,
and security information. Each M68HC11 device with Math Coprocessor
EEPROM includes an on-chip charge pump to facilitate New M68HCll Family members offer a 16-bit on--chip
single-supply programming and erasing. math coprocessor that accelerates multiply and divide
operations by as much as 10 times. The coprocessor
Digital-to-Analog Conversion functions independently of the CPU and requires no special
The M68HCII Family provides powerful, on-chip, instructions. The coprocessor is well-suited to low-bandwidth
multi-channel AID converter systems. Multi-conversion and DSP functions such as closed loop control, servo positioning,
multi--channel options allow single or continuous conversion and signal conditioning.
on single or multiple channels. M68HC11 AID systems have

Single-Chip Microcontrollers (AMCU) 2.6-2 Motorola Master Selection Guide


PULSE ACCUMULATOR
I PAl
OC2
PA7
PA6
ROM-8K BYTES 0 OC3 PAS
TIMER
L
()

OC4
OCS
-0:
Ii:
~
PA4
PA3
IC1 PA2
PERIODIC INTERRUPT IC2 PA1
RAM-2S6 BYTES COP WATCHDOG IC3 PAO

PDS
SPI PD4
EEPR0M-512 BYTES PD3
PD2

PE7 SCI PD1


PES PD~
PES UJ
PE4 Ii:
0
PE3 a..
PE2
ND
CONVERTER
PE1 M68HC11 CPU
PEO

VRH
VRL

RESET

XIRQ r
IRQ I
I
I
XTAL I
EXTAL I
I
E I
I
I
M.QQA I
(LlR) I
MODB I a.. a.. a.. a.. a.. a.. a.. a.. ~~~~~~~~ 1-1-
(VSTBY)
~-------------------~~

Figure 4. MC68HC11A8 Block Diagram

Motorola Master Selection Guide 2.6-3 Single-Chip rVlicrocontroliers (AMCU)


MODA MODB
(LlR) (VSTBY) XTAL EXTAL E IRQ XIRQ RESET

INTERRUPT
MODE CONTROL
LOGIC
12 KBYTES ROM

TIMER EEPROM 512 BYTES


SYSTEM M68HC11 CPU

RAM 512 BYTES

SERIAL
COMMUNICATION
L VDD
INTERFACE I Vss
....--_---l ...L
SCI

-VRH
- VRL
AID CONVERTER

~ C> ,....., <PU')..q('W')(\J ....... 0


00 wwwwwww w
0.. 0.. a. a.. a.. a.. a.. a.. a.. a..

Figure 5. MC68HC11E9 Block Diagram

Single·Chip Microcontrollers.(AMCU) 2.6-4 Motorola Master Selection Guide


RIW/PG7 PULSE ACCUMULATOR PA7
D/A PA6
PGG
PA5
PG5 DA2 PA4
PG4 DAl TIMER
PA3
PG3 ANll PA2
PG2 AN10 PERIODIC INTERRUPT PAl
PGl AN9 COP WATCHDOG PAO
PGO AN8
PD5
PE7 AN7 PD4
PEG ANG SPI PD3
PE5 AN5 PD2
PE4 AN4
PE3 AN3 PDl
SCI+
PE2 AN2 PD~
PEl ANl
768 BYTE RAM
PEO ANO
VRH ---...-----1 24 KBYTE EPROM
VRL ---...-----\ ND
640 BYTE EEPROM
XIRQ/V~
-18Q MATH
RESET COPROCESSOR PH7
PH6
PW6 PH5
PW5 PH4
E~~t ~ OSCILLATOR I CPU PWMs
PW4
PW3
PH3
PH2
PW2 PHl
E..--j CLOCK PWl PHO

VDD~ NONMULTIPLEXED ADDRESS/DATA BUS

VSS~

Figure 6. MC68HC711N4 Block Diagram

Motorola Master Selection Guide 2.6-5 Single·Chip Microcontrollers (AMCU)


Table 12. M68HC11 Family Microcontrollers
Part
Number EPROM RAM EEPROM TImer va Serial AID PWM Package Comments
16-8n-3IC, 52-FN 64K Extemal Address Bus,
SPI, BCh,
MC6BHCllAO - 256 - 5 OC, RTI, WDOG 22
SCI 8-8n
- 64-FU 6BHC24 PRU, 3.0 V Version
Pulse Accumulator 48-P Available

16-8n-3IC, 52-FN 64K Extemal Address Bus,


SPI, BCh,
MC6BHCllAl - 256 512 5 OC, RTI, WDOG 22
SCI 8-8n
- 64-FU 68HC24 PRU, 3.0 V Version
Pulse Accumulator 48-P Available

16-8n-3IC, 52-FN 3 MHz Version Available, 64K


SPI, BCh,
MC6BHCllA7 8K 256 - 5 OC, RTI, WDOG, 38
SCI 8-8n
- 64-FU Extemal Address Bus, 68HC24
Pulse Accumulator 48-P PRU, 3.0 V Version Available

3 MHz Version Available, Low


16-8n-3IC,
SPI, BCh, 52-FN Voltage Version (3.Cf-5.5V) at 2 MHz,
MC6BHCllAB 8K 256 512 5 OC, RTI, WDOG, 38 SCI 8-Bit
- 48-P 64KExtemai Address Bus, 68HC24
Pulse Accumulator
PRU

16-8n - 3/4 IC,


415 OC, RTI, SPI, 4Ch, 2Ch, 68--FN 256K Extemed Memory,
XC6BHCl1CO - 256 512
WDOG,
36 SCI 8-8n 8-8n 64-FU 6 Chip Selects
Pulse Accumulator

16-8n - 3/4 IC,


44-FB
415 OC, RTI, SPI, 64K Extemal Address Bus,
MC6BHCllDO - 192 - WDOG,
14
SCI
- - 44-FN
68HC27 PRU, 3.0V Version Available
4O-P
Pulse Accumulator

16-8it - 3/4 IC, 3 MHz Version Available, Low


44-FB Voltage Version (3.Cf-5.5V) at 2 MHz,
415 OC, RTI, SPI,
MC6BHC11D3 4K 192 - WDoo,
32
SCI - - 44-FN
64K Extemal Address Bus, 68HC27
4O-P PRU
Pulse Accumulator

16-8n - 3/4 IC,


44-FB
415 OC, RTI, SPI,
MC6BHCllEDO - 512 -
WDoo,
30
SCI
- - 44-FN Pin Compatible w.h 68HC11D3
4O-P
Pulse Accumulator

16-8n - 3/4 IC,


415OC, RTI, 22 SPI, 64K Extemal Address Bus,
MC6BHCllEO - 512 - WDOG, SCI 8-8n
BCh, - 52-FN
68HC24 PRU, 3.0 V Version Available
Pulse Accumulator

16-8n - 3/4 IC,


64K Extemal Address Bus,
415 OC, RTI, SPI, BCh, 52-FN
MC6BHCllEl - 512 512
WDOG,
22 SCI 8-8. - 64-FU
EEPROM Block Protect, 68HC24
PRU, 3.0 V Version Available
Pulse Accumulator

16-8n - 3/4 IC,


3 MHz Version Available,
415 OC, RTI, 38 SPI,
MC68HCllEB 12K 512 - WDOG, SCI 8-8.
8Ch, - 52-FN 64K Extemal Address Bus,
3.0 V Version Available
Pulse Accumulator

16-8n - 3/4 IC, EEPROM Block Protect, 3 MHz


415OC, RTI, SPI, BCh, 52-FN Version Available, Low Voltage
MC6BHCllE9 12K 512 512 38 -
WDOG, SCI 8-8n 64-FU Version (3.Cf-5.5V) at 2 MHz, 64K
Pulse Accumulator Extemal Address Bus

16-8n-3/4IC,
415OC, RTI, SPI, BCh, 52-FN
XC6BHCll E20 20K 768 512
WDOG,
3B
SCI 8-Bit
- 64-FU
3 MHz Mux Bus
Pulse Accumulator

16-8n - 3/4 IC,


EEPROM Block Protect,
415OC, RTI, SPI, 8Ch,
MC6BHCBllE2 - 256 2048
WDOG,
36
SCI 8-8n - 52-FN 64K Extemal Address Bus,
68HC24 PRU
Pulse Accumulator

16-8n - 3/4 IC, Programmable Chip Selects,


4150C, Rn, SPI, BCh, 68--FN EEPROM Block Protect, 64K
MC6BHCllFl - lK 512
WDOG, 54
SCI 8-8.
- 8O-FU External Address Bus, 68HC27 PRU,
Pulse Accumulator 4 MHz Non--Mux AddressIData Bus

Single·Chip Microcontrollers (AMCU) 2.6-6 Motorola Master Selection Guide


Table 12. M68HC11 Family Microcontrollers (continued)
Part
Number EPROM RAM EEPROM Timer 110 Serial AID PWM Package Comments
16-Bij - 314 IC,
4I5OC, ATI, SPI, 8Ch, 4Ch, 84-FN
PC68HCllGO - - 512
WDOG,
38
SCI lo-Bit 6-Bit 8O-FU
Pulse Accumulator

16-Bij - 314 IC,


4I5OC, RTI, SPI, 8Ch, 4Ch, 84-FN
PC68HCllG5 16K 512 - WDOG,
66
SCI lo-Bit B-Bit 8(}-.FU
Pulse Accumulator

16-Bij - 314 IC,


4I5OC, Rn, SPI, 8Ch, 4Ch, 84-FN
PC68HCllG7 24K 512 - WDOG,
66
SCI lo-Bij B-Bit 8(}-.FU
Pulse Accumulator

16-Bij - 314 IC,


4I5OC, RTI, SPI, 8Ch, 4Ch, 84-FN
PC68HCllJ6 16K - 512
WDOG,
29
SCI B-Bij B-Bij 8(}-.FU
Pulse Accumulator

16-Bij - 314 IC, 4 MHz Non-Mux Address/Data Bus,


4I5OC, RTI, SPI, 8Ch, 4Ch, 84-FN Chip Selects, Extended Memory
MC68HCllKO - 768 - WDOG,
'37
SCI 8-Bij B-Bij 8(}-.FU Map, 68HC27 PRU, 3.0 V Version
Pulse Accumulator Available

lB-Bit - 314 IC,


4 MHz Non-Mux Address/Data Bus,
415 DC, RTI, SPI, 8Ch, 4Ch, 68-FN
MC68HCllKAO - 766 - WDOG,
26
SCI B-Bit B-Btt 64-FU
Chip Selects, Extended Memory
Map, 68HC27 PRU
Pulse Accumulator

4 MHz Non-MuxBus,Chip Selects,


lB-Bit - 314 IC,
SPI, 8Ch, 4Ch, 84-FN EEPROM Block Protect, Extended
MC68HCllKl - 768 640 415 DC, ATI,WDOG, 37
SCI B-Btt B-Bij 8O-FU Memory Map, 68HC27 PRU,
Pulse Accumulator
3.0 V Version Available

4 MHz Non-Mux Address/Data Bus,


16-Bit - 314 IC,
SPI, 8Ch, 4Ch, 68-FN Chip Selects,EEPROM Block Protect,
MC68HCllKAl - 766 640 415 DC, ATI,WDOG, 26
SCI B-Bij B-Btt 84-FU Extended Memory Map, 66HC27
Pulse Accumulator
PRU

lB-Bij - 314 IC, 4 MHz Non-Mux Address/Data Bus,


415 DC, ATI, SPI, 8Ch, 4Ch, 84-FN Chip Selects, Extended Memory
MC68HCllK3 24K 766 - WDOG,
62
SCI B-Btt B-Bij 8O-FU Map, 68HC27 PRU, 3.0V Version
Pulse Accumulator Available

lB-Btt - 314 IC,


4 MHz Non-Mux Address/Data Bus,
415 DC, An, SPI, 8Ch, 4Ch, 68-FN
MC68HCllKA3 24K 768 - WDOG,
51
SCI B-Bij B-Btt 84-FU
Chip Selects, Extended Memory
Map, 68HC27 PRU
Pulse Accumulator

4 MHz Non-Mux Bus, Low Vottage


16-Bit - 314 IC,
Version (3.CH;.5V) at 3 MHz, Chip
415 DC, ATI, SPI, 8Ch, 4Ch, 84-FN
MC68HCllK4 24K 768 640 62 Selects, EEPROM Block Protect,
WDOG, SCI B-Bij B-Bit 8O-FU
Extended Memory Map, 68HC27
Pulse Accumulator
PRU

16-Bij - 314 IC,


4 MHz Non-Mux Address/Data Bus,
415 DC, ATI, SPI, 8Ch, 4Ch, 68-FN
MC68HCll KA4 24K 768 640 51 8-Bij
Chip Selects, EEPROM Block
WDOG, SCI B-Btt 64-FU
Protect
Pulse Accumulator

16-Btt - 314 IC, 64K Extemal Address Bus,


SPI, 8Ch, 6B-FN
MC68HCllLO - 512 - 415 OC,ATI, WDOG, 30
SCI B-Btt
-
64-FU
68HC24 PRU, 3.0 V Version
Pulse Accumulator Available

64K External Address Bus,


16-Bij -:li4 IC,
SPI, 8Ch, 68-FN EEPROM Block Protect,
MC68HCllLl - 512 512 415 OC,ATI, WDOG, 46 -
SCI B-Btt 64-FU 68HC24 PRU, 3.0 V Version
Pulse Accumulator
Available

16-Bij - 314 IC,


64K Extemal Address Bus,
415 ~C, An, SPI, 8Ch, 68-FN
MC68HCllL5 16K 512 - WDOG,
46
SCI B-Btt
- 64-FU
68HC24 PRU, 3.0 V Version
Available
Pulse Accumulator

Motorola Master Selection Guide 2.6-7 Single-Chip Microcontrollers (AMCU)


Table 12. M68HCll Family Microcontrollers (continued)
Part
Number EPROM RAM EEPROM Timer va Serial AID PWM Package Comments
16-Bit - 3I41C, 3 MHz Version Available, Low
415 OC, ATI, SPI, 8Ch, 68-FN Voltage Version (3.D-5.5V) at 2 MHz,
MC68HCllL6 16K 512 512
WDOG,
46
SCI 8-B~
- 64-FU 64K Extemal Address Bus, 68HC24
Pulse Accumulator PRU

18-B~ - 314 IC,


18-B~ Math Coprocessor,
415OC, ATI, SPI, 8Ch, 4Ch, 84-FN
MC68HCllM2 32K 1.25K - WDOG,
62
2-SCI 8-B~ 8-B~ 8O-FU
4 MHz Non-Mux Bus,
4 Ch DMA Controller
Pulse Accumulator

18-B~ - 314 IC,


12 16-B~ Math Coprocessor,
4I5OC, RTI, SPI, 6Ch, 84-FN
XC68HCllN4 24K 768 640 62 Ch, 4 MHz Non-Mux Bus,
WDOG, SCI 8-B~ 8O-OFP
8-B~ 2 Ch 8-B~ DlA
Pulse Accumulator

18-B~ - 314 IC,


415 OC, ATI, SPI, 8Ch, 4Ch, 84-FN
XC68HCllP2 32K lK 640 62 PLL Clock Option
WDOG, 3-SC1 8-B~ 8-B~ 8o-FU
Pulse Accumulator

Table 13. M68HCll One-Time Programmable/Emulator Microcontrollers


Part
Number EPROM RAM EEPROM Timer 1/0 Serial AID PWM Package Comments
18-BiI- 314 IC, 44-FB
SPI,
PC68HC711D3 4K 192 - 415 OC, RTI, WDOG, 32
SCI
- - 44-FN 64K External Address Bus
Pulse Accumulator 4Q-P

18-B~-3I4IC,
SPI, 8Ch, 52-FN EEPROM Block Protect,
PC68HC711 E9 12K 512 512 4/5 OC, RTI, WDOG, 38
SCI 8-B~
- 64-FU 64K External Address Bus
Pulse Accumulator

18-Bit - 314 IC, 52-FN


SPI, 8Ch, EEPROM Block Protect,
PC68HC711 E20 20K 768 512 415 OC, RTI, WDOG, 38
SCI 8-B~
- 52-FS
64K External Address Bus
Pulse Accumulator 64-FU

16-Bit- 314 IC,


SPI, 8Ch, 4Ch, 84-FN
PC68HC711G5 16K 512 - 4/5 OC, RTI, WDOG, 66
SCI lQ-BiI 8-Bit 84-FS
Pulse Accumulator

18-B~ - 314 IC,


SPI, 68-FN
PC68HC711J6 16K 512 - 4/5 OC, RTI, WDOG, 54
SCI
- - 68-FS
1 Chip Select
Pulse Accumulator

4 MHz Non-Mux Bus,


18-BiI- 3141C, 84-FN
SPI, 8Ch, 4Ch, EEPROM Block Protect, Chip
PC68HC711 K4 24K 768 640 415 OC, RTI, WDOG, 62 84-FS
SCI 8-Bit 8-Bit Selects, Extended
Pulse Accumulator 8Q-FU
Memory Map

16-Bit-314IC, 68-FN
SPI, 8Ch, - EEPROM Block Protect,
PC68HC711L6 16K 512 512 415 OC, RTI, WDOG, 46 68-FS
SCI B-Bit 64K Extemal Address Bus
Pulse Accumulator 64-FU

16-Bit-314IC, 84-FN 18-Bit Math Coprocessor,


SPI, 8Ch,
PC68HC711M2 32K 1.25K - 4/50C, RTI, WDOG, 62
SCI 8-Bil
- 84-FS 4 MHz Non-Mux Bus,
Pulse Accumulator 8O-FU 4 Ch DMA Controlier

16-BiI- 314 IC, 18-Bit Math Coprocessor,


SPI, 12Ch, 6Ch, 84-FN
PC6BHC711 N4 24K 768 640 4/50C, RTI, WDOG, 62 4 MHz Non-Mux Bus,
SCI 8-Bit 8-Bit 84-FS
Pulse Accumulator 2Ch 8-B~D/A

16-B~ - 3I41C, 84-FN


SPI, BCh, 4Ch,
XC6BHC711P2 32K lK 640 4/5 OC, RTI, WDOG, 62 84-FS PLLClock
SCI 8-Bit .8-Bit
Pulse Accumulator 88-FU

Single·Chip Microcontrollers (AMCU) 2.6-8 Motorola Master Selection Guide


Definitions for Tables 3 and 4

General Definitions Package Definitions

ADC Analog to Digital Converter Module FB 1Oxl0 mm Quad Flat Pack (QFP)
ND Analog to Digital Converter FC Fine Pitch Plastic Quad Flat Pack (PQFP)
CPU16 16 bit Central Processing Unit FD Plastic Quad Flat Pack in Molded Carrier Ring
CPU32 32 bit Central Processing Unit FE Ceramic Quad Flat Pack (CQFP)
D/A Digital to Analog Converter FM Molded Carrier Flat Pack (CQFP)
DMA Direct Memory Access FN Plastic Leaded Chip Carrier (PLCC)
GPT General-Purpose Timer FS Windowed Cerquad (Ceramic LCC)
IC Input Capture FT 28x28 mm Quad Flat Pack (QFP)
"C Inter-Integrated Circuit FU 14x14 mm Quad Flat Pack (QFP)
MCCI Multi-Channel Communication Interlace FV 20x20 mm Quad Flat Pack (QFP)
PLL Phase Lock Loop Ceramic
OC Output Capture P Dual-in-Line Plastic
POQ Preferred Order Quantity Multiple PB Thin Quad Flat Pack (TQFP) 10xl0 mm
PWM Pulse Width Modulation PU Thin Quad Flat Pack (TQFP) 14x14 mm
QSM Queued Serial Module PV Thin Quad Flat Pack (TQFP) 20x20mm
RPSCIM Reduced Pin Count SCIM S Cerdip (windowed or non-windowed)
RTC Reaf-Time Clock TH 16x16 mm Quad Flat Pack (QFP)
RTI Reaf-Time Interrupt
SCI Serial Communication Interface
SCIM Single Chip Integration Module
SIM System Integration Module
SPI Serial Peripheral Interlace
TPU Ttme Processing Unit
UART Universal Asynchronous Receiverffransmitter
WDOG Watch Dog Timer

Motorola Master Selection Guide 2.6--9 Single-Chip Microcontrollers (AMCU)


M6800 Series Microprocessors and Peripherals
These devices are a testament to the staying power of reliably in automotive, industrial, and office equipment
Motorola microtechnology. The original MC6800 was applications for years. Each of these devices can be
Introduced in 1975, and is still in demand today. Quality combined with various peripherals to meet the requirements
M6801, M6804 and M6805 systems have been performing of a microcontroller design.

Table 14. M6801 and M6803 (HMOS)


Bus
Part Speed,
Number ROM RAM EEPROM Timer Serial AID I/O MHz Package Comments
MC6801 2048 192 0 16bi!: l1C, 1 OC SCI No 29 0.5-2.0 40P

MC68701 0 128 2048 16bi!: l1C, 1 OC SCI No 29 0.5-2.0 40P

MC6803 0 192 0 16bi!: l1C, 1 OC SCI No 13 0.5-2.0 40P

MC6801U4 4096 256 0 16 bij: 21C, 3 OC SCI No 29 0.5-1.25 40P

MC68701U4 0 128 4096 16 bij: 2 IC, 3 OC SCI No 29 0.5-1.25 40P

MC6803U4 0 256 0 16bij:2IC,3OC SCI No 13 0.5-1.25 40P

Table 15. 8-Bit MPu/Peripherals


Device Pins Package Part Description Speed
MC68BOO 40 P 8 Bij MPU. Addresses 64K Memory. 1 or 2 MHz Versions 2MHz

MC6802 40 P MC6800 + Int. Clock Oscillator; 128 Bytes RAM 1 MHz

MC68B09 40 P High Performance MPU, 10 Powerful Addressing Modes 2MHz

MC68B09E 40 P MC6809 With External Clock Input for External Sync. 2MHz

MC68B21 40 P Peripheral Interface Adapter 2 MHz

MC68B40 40 P Programmable limer Module Contains 3 16-Bit Timers 2 MHz

MC6845 40 P CRT Ctrl, Refresh Memory Addressing; 2nd Source HD6845R 1 MHz

MC68B50 40 P Asynchronous Communication Interface Adaptor 2 MHz

MC68HC24 40,44 P, FN MC68HCll Port Replacement (Expanded Mode) for A8. E9 2 MHz

MC68HC27 46.68 FU,FN Port Replacement for 03. K4, Fl 2 MHz

MC68HCB34 40 P, FN 256 Byte Dual Port RAM. 6 Semaphore Registers 2 MHz

MC68Bl0 24 P 128 x 8 Random Access Memory 2 MHz

MC68B44 40 P Direct Memory Access Controller 2MHz

MC68B488 40 P General Purpose Interface Adapter 2 MHz

MC68B52 24 P Synchronous Serial Data Adapter 2 MHz

MC68B54 28 P Advanced Data Link Controller 2 MHz

Single-Chip Microcontrollers (AMCU) 2.6-10 Motorola Master Selection Guide


Table 16. M6BUS (HMOS) Microprocessors
Bus EPROM or
Part Speed. EEPROM
Number ROM RAM EEPROM Timer Serial AID 11O MHz Package Version Comments
26-P
MC680SP2 lK 64 0 8-Bit - No 20 0.1-1.0
28-FN
70SP3 LVI Option

MC680SP6 2K 64 0 6-Bit - No 20 0.1-1.0 28-P 70SP3 LVI Option

41}-P LVI Option. Prog. Prescaler


MC680SR2 2K 64 0 6-Bit - Yes 32 0.1-1.0
44-FN
70SR3
Option

40-P
MC680SR3 4K 112 0 8-Bit - Yes 32 0.1-1.0
44-FN
70SR3 7-Bit Prescaler, LVI Option

41}-P
MC680SR6 4K 112 0 8-Bit, WDOG - Yes 32 0.1-1.0 70SR3 7-Bit Prescaler, LVI Option
44-FN

MC680SS2 lK 64 0 16-Bit, 6-Bit SPI Yes 16 0.1-1.0 26-P 70SS3 lS-Bit Prescaler, LVI

26-Bit,
MC680SS3 4K 104 0 SPI Yes 21 0.1-1.0 28-P 70SS3 1 Extra 8-Bit Timer
16-Bit

41}-P
MC680SU2 2K 64 0 6-Bit - No 32 0.1-1.0 70SU3 LVI Option
44-FN

41}-P
MC680SU3 4K 112 0 6-Bit - No 32 0.1-1.0
44-FN
70SU3 7-Bit Prescaler, LVI Option

Table 17. 8-Bit MPUlPeripherals


Device Pins Package Part Description
MC14618 24 P Real Time Clock, SO Bytes RAM, Programmable Square Wave

MC146818A 24,28 P,FN Enhanced Version of the MC146818

MC146823 40,44 P,FN Three 6-B~ Ports, Handshake Control Logic

MC14680SE2 40,44 P,FN CMOS 6-Bit Microprocessor

MC68HC68L9 80 FU LCD Expansion to the MCOSL9

Motorola Master Selection Guide 2.6-11 Single-Chip Microcontrolk


Modular Microcontrollers
Modular microcontrollers are another of the innovations • Twelve programmable chip-select outputs
that make Motorola a leader in single--chip control systems. • Watchdog timer, clock monitor, and bus monitor
Modular controllers are built up from standard modules that • PLL clock synthesizer
interface via a common intermodule bus (1MB). The modular
concept allows rapid design and manufacture of controllers
tailored for specific applications. Single-Chip Integration Module (SCIM)
• Manages controller internal and external bus interfaces
Intermodule Bus Peripherals • Provides device interrupt arbitration
• Spurious interrupt monitor
Each modular microcontroller incorporates a state-of-the
• Single--chip operation with address and data bus pins
art pipelined CPU module, a sophisticated integration module,
and a number of special-purpose modules. The configured as I/O ports
rapidly-growing library of special-purpose modules includes • Optional Fully or Partially-expanded bus operation
programmable timers, serial communication interfaces, • Nine general-purpose chip select outputs
analog-to-digital converters, and a variety of memory • Emulation mode chip-select outputs can be used to
modules. address a port replacement unit and external emulation
RAM
Central Processing Units • Watchdog timer, clock monitor, and bus monitor
CPU16 • PLL clock synthesizer
• 16-Bit Architecture • Interrupt request inputs can be configured for edge or
level detection
• Full Set of 16-Bit Instructions
• Three 16-Bit Index Registers • Reduced pin SCIM (RPSCIM) available with 5 chip selects
• Two 16-Bit Accumulators
• One Megabyte of Program Memory and One Megabyte Timers
of Data Memory
Time Processor Unit (TPU)
• Source code compatible with the M68HC11 "CPU
• On--chip microengine dedicated to high-speed timing tasks
• Control-Oriented Digital Signal Processing Capability
• Two independent 16-bit counters used as basis for
• High-Level Language Support
timing tasks
• Fast Interrupt Response Time
• Real-time task scheduler
• Fully Static Implementation
• Executes a programmed series of functions to perform
• Low Power Stop Operation
complex tasks
• Background Debugging Mode
• Each of 16 orthogonal channels can perform available
• Hardware Breakpoint Signal
time functions
CPU32 • Functions contained in dedicated control store or in
• 32-Bit Internal Data Path and Arithmetic Hardware MCU RAM
• 32-Bit Internal Address Bus - 24-B~ Extemal Address Bus • TPU communicates to CPU via dual port RAM
• Eight 32-Bit General-Purpose Data Registers
• Seven 32-Bit General-Purpose Address Registers General Purpose Timer (GPT)
• Separate User and Supervisor Stack Pointers and • Two 16-bit free-running counters
Address Spaces
• Three input capture channels
• Separate Program and Data Address Spaces
• Four output compare channels
• Virtual Memory Implementation
• One input capture/output compare channel
• Enhanced Addressing Modes • One pulse accumulator/event counter input
• Object Code Compatible with M68000 Family • Two pulse-width modulation outputs
• Improved Exception Handling for Controller Applications
• Pulse accumulator input
• Rich Instruction Set
• Fully Static Implementation Configurable Timer Module (CTM)
• Low Power Stop Operation • Modular timer system combining different configurations
• Background Debugging Mode of timer submodules:
• Hardware and Software Breakpoints • CPSM-6 TAP counter prescaler
• Trace on Change of Flow • FCSM-16-bit free running up counter
Integration Modules • MCSM-16-bit modulus up counter
• SASM-(Single Action) two I/O pins for 16-bit input
System Integration Module (SIM) capture or output compare functions
• Manages controller internal and external bus interfaces • DASM-(Dual Action) one I/O pin for 16-bit I/C, O/C,
• Provides device interrupt arbitration PWM, or output function
• Spurious interrupt monitor

Single-Chip Microcontroliers (AMCU) 2.6-12 Motorola Master Selection Guide


Timer Module (TM) • Queued conversions can be performed continuously or
can be retriggered by software or the QADC module
• 16-bit free-running counter with 8-bit prescaler
periodic interval timer and external trigger
• Two TM can be externally cascaded to increase
• Programmable sample and hold times
count width
• Alternate voltage references
• Software selected input capture, output compare,
pulse accumulation. event counting, or pulse-width Specialized Control Modules
modulation functions
Direct Memory Access (DMA)
Communication Modules
• Provides lOW-latency transfer to external peripheral or
Queued Serial Module (QSM) for memory-memory data transfer
• Two independent DMA channels with full
• Queued full-duplex, synchronous three-line SPI with
programmability
dedicated RAM
• Standard, asynchronous NRZ-format SCI
• Polled and interrupt-driven operation
Memory Modules
• Pins can be configured as a parallel 1/0 port Standby RAM (SRAM)
Multi-Channel Communications Interface • Fast Static RAM maintained by voltage from standby
voltage pin
(MCCI) • Available in 1K, 1.SK, 2K, 3.SK, and 4K blocks
• One full-duplex synchronous three-line SPI • Fast (2 clock) access speed
• Two independent standard, asynchronous NRZ-format SCI • Byte, word. and long-word operations supported
• Polled and interrupt-driven operation
• Pins can be configured as a parallel 1/0 port Standby RAM with TPU Emulation
(TPURAM)
Dual Universal Asynchronous!
• Fast Static RAM maintained by voltage from standby
Synchronous Receiver Transmitter (DUART)
voltage pin
• Dual NRZ Serial RS-232C channels • Available in 1K, 1.SK, 2K, 3.SK, and 4K blocks
• Independently programmable TxD and Receiver • Fast termination (2 clock) access speed
Transmitter (DUART) • Supports TPU microcode ROM emulation
• RxD Baud rates for each channel up to 76.8K Baud • Byte, word, and long-word operations supported
• Optional external input pins provide baud clock
• Transmit operations are double buffered, and receive Masked ROM (MRM)
operations are quadruple buffered
• Custom-masked non-volatile 16-bit wide memory
• RTS and CTS signals are directly supported
• Available in 4K increments from 8K to 48K bytes
Analog-to-Digital Conversion • Fast (2 clock) access speed
• Byte, word, and long-word operations supported
Modules • Boot ROM capability
Analog-to-Digital Converter (ADC) Flash EEPROM (FLASH)
• 8 or 10 bits of resolution • Word programmable, bulk erasable non-volatile 16-bit
• Eight input channels wide memory
• Eight result registers • Available in 8K increments from 8K to 64K bytes
• Three result alignment formats • Fast (2 clock) access speed
• Eight automated conversion modes • Byte, word, and long-word operations supported
• Programmable sample and hold times are provided • Boot ROM capability
• Three result alignment modes • External 12 volt programming/erasure source required
Queued Analog-to-Digital Converter Block Erasable Flash EEPROM
(QADC) (BEFLASH)
• 10 bits of resolution • Available in 8K increments from 8K to 64K bytes
• 16 analog input channels (up to 27 if multiplexed • Eight independently-erasable blocks
externally) • Fast termination (2 clock) access speed
• Two independent conversion queues • Byte, word, and long-word operations supported
• 32 result registers (16 per queue) • Byte/Word programming with 12 volt external input
• Three result alignment formats

Motorola Master Selection Guide 2.6-13 Single-Chip Microcontrollers (AMCU)


The M68HC16 Family
The M68HC16 family is designed for embedded control can be placed in low-power stop mode to minimize power
applications. Each M68HC16 MCU incorporates a true 16--bil consumption during periods of inactivity. The M68HC16 family
CPU module (CPU16) that is upwardly code-compatible with provides the flexibility and features of the M68300 family. and
the M68HC11 CPU. a sophisticated integration module. and also provides a convenient way for users of M68HC11 devices
a number of special-purpose modules. M68HC16 devices to move up to 16--bit performance.
PWMA CSBOOT
PWMB
Pel.)(
PAl

OC1 r--
OC1
~ I r - - r--- - BRiCSO
OC2/0C1 OC2/0C1 CHIP BGlCS1
OC3/0C1 OC3/0C1 SELECT CSO-CS10 BGACKlCS2
0..--' I--- BR
~

FCO/CS3
OC4/0C1 <!J~ OC4/0C1
IC4/0C5/OC1 >->- IC4/0C5/0C1 BG --' FC1/GS!
Il:z GPT 0
IC3 00 IC3 BGACK Il: FC2/CS5
0..0 >-0
IC2 IC2 FCO z>- ADDR19/CSfi
Oil:
IC1 IC1 FC1 00 ADDR20/W
0..
SIM FC2 ADDR21/.csa

U
"--
ADDR22LCS9
RXD
r--
- ADDR23/CS10
TXD ArR119:23]
PCSO/SS
TXD
PCSO
-
PSC1 PSC1 ADDR 0:18
PSC2 ~g PSC2
OSM
PSC3 >- f-
Il:z
PSC3
SCK 00 SCK DSACKO -~ [)i':Ar.KO
0..0
MISO MISO DS~CK1 DSACK1
MOSI MOO AVEC AVEC
--'
SS EBI PF3 Ow
~-
Il:f-
OS f-Il: OS
VDD Zo

VSS
-' 1MB
Ai':
_SilO
00..
0 AS
SIZO

VDDA
- SIZ1
~~
SIZ1

~ DATA [0:15]
VSSA ~ R/W
ADAO
-- ADAO RESET
ADA1 ADA1 HA
ADA2 ADA2 BERR
0--' r-r-
ADA3 ...:0 ADA3 MODCK
ADA4 b:g: ADA4 A IR01
ADA5 ~8 ADA5 ADC SRAM IR011:71 --'
IR02
ADA6 ADA6 Ou..
Il:f-
IR03
ADA7 ADA7 >-Il: IR04
VRH
-- CPU16
- Zo
80.. IR05
~
MODCK IR06
VRL IRQ7
~ ~~
CLKOUT
XTAL
CLOCK EXTAL
'--
XFC
DSCLK
VDDSYN
DSO
--'
IPIPEO/DSO 0
Il:
DSI - TSC r-
--
IPIPE1/DSI f-
Z
IPIPE1 TSTME TSTMEfTSC
BKPTlDSCLK 0 IPIPEO TEST QUOT FREEZE/OUOT
0 --'
BKPT 0
Il:
-
~
f-
z
VSTBY I FREEZE
0
0

Figure 7. MC68HC16Z1 Block Diagram -

Single-Chip Microcontroliers (AMCU) 2.6-14 Motorola Master Selection Guide


PWMA CSBOOT
PWMB
PCLK
PAl

IC1IPGPO ,- ICl
~ , BRJCSD
CHIP BGlCSl
IC2IPGPl IC2
SELECT CS[10:0[ " BGACKlCS2
IC3/PGP2 IC3 v
OCl/PGP3 ,,---' BR FCO/QWPCO
(!:lo OCl
OC2IOC1/PGP4 li:g: OC2IOCl GPT ~ --'
FC1/~PCl
OZ
OC3/0Cl/PGP5 ,,-8 OC3/0Cl BGACK 1£
1-0
FC2/CS5IPC2
OC4/0Cl/PGP6 OC4/0Cl FCO ZI- ADDR191l&§iPC3
FCl Oa: ADDR20l!&ZlPC4
IC4/0C5/0Cl/PGP7 IC4IOC5/0Cl 0:;(
SIM FC2 ADDR21/CS8/PC5
'---
ADDR~9/PC6
RXD
MISO/PQSO
MOSl/PQSl
,- MISO
MOSI
UI "
ADDRJ?3:1!1)
v "-
~DDR23/CSl O/ECLK.

SCKlP.QS2 SCK ADDR[0:181 '"


PCSO/SSlPQS3 ~g ~. QSM
PCS1/PQS4 E'CS.l --
Ii:!z
PCS2IPQS5 :;(8 ~ DSACKO ...-- QSACKO/PEO
PCS3/PQS6 PCS3 DSACKl DSAClillPEl
TxD/PQS7 TxD AVEC AVEC/PE2
EBI --'
'--- PE3 OUJ
g:1i: -
VDD ---.J 1MB
OS
AS
Zo
8"-
DS/PE4
AS/PE5
VSS ---=t. SIZO SIZO/PE6
SIZl SIZ1/PE7
-=- r - - .--- .---- ~-
A
VDDA DATA [0:15] '"
VSSA " _Rfii.
ANO/PADAO
...-- RES.ET
ANO
ANl/PADAl ANl HALT
AN2/PADA2 AN2 BERR
AN3/PADA3 05
<a: AN3 c-r- MODCLKlPFO
AN4IPADM Ii: I- AN4 IBQ1IPFl
AN5/PADA5 :;(8 AN5 ADC SRAM MRM ",IRQ [1:7]
--'
I~PF2
AN6/PADA6 AN6 OU. JBQaIPF3
a: I-
AN7/PADA7 AN7 I-a: !BQMPF4
Zo
'--- 8"- lBOOPF5
VRH CPU16 MODCK !BQ§!PF6
VRL IR07/PF7
'---
CLKOUT
XTAL
CLOCK EXTAL
'--- '---c..-- '---
XFC
DSCLK VDDSYN
DSO
--' c-
IPIPEO/DSO O DSI IS~ --
a:
IPIPEl/DSI I- IPIPEl TSTME TSTMEITSC
Z
BKPT/DSCLK 8 IPIPEO TEST QUOT --'
0
FREEZE/QUOT
BKPT a:
I-
- Z
0

VSTBY I FREEZE °
'--

Figure 8. MC68HC16Z2 Block Diagram

Motorola Master Selection Guide 2.6-15 Single-Chip Microcontrollers (AMCU)


TP[1S:0[ CHIP
T2CLK SELECTS CSBOOT
PMC7ITXOA TXDA
--
BB1CSlL
PMC6/RXDA RXDA .BllICSIL-
PMCSITXDB TXDB BGACKlCSE
PMC4/RXDB BlmB
PMC3iSS SS ADDR23/CSlO
PMC2/SCK SCK FCO ADDR22iGS9iPC6
PMC1iMOSI MOSI FC1 ADDR2l1GS9iPCS
PMCO/MISO MISO FC2 ADDR201CS1iPC4
ADDIlliICS6IPC3
FC2iCSSIPC2
FC1/EC1
48KBYTES FCO/CS3IPCO
GPT MCCI TPU
ROM

ADDR[18:11yPA[7:0]
PAl PAl
PGP7/1C4/OC5/0C1 IC4iOCSiOC1 ADDR[23:0]
PGP6/0C4/0C1 OC4IOC1 ADDR[10:3]/PB[7:0]
PGPS/OC3/0C1 OC3/0C1
PGP4IOC2iOC1 OC210C1
PGP3/0C1 OC1
PGP2/1C3 1C3 ADDR[2:0]
PGP1/1C2 IC2
PGPO/IC1 IC1 SIZ1/PE7
SlZO/PE6
PWMA PWMA ASiPES
PWMB PWMB DSIPE4
PCLK PCLK ffL
A\lECL2E2
llSACK1iPE1
DSACKOIPEO

1MB EBI
DATA[1S:8yPG[7:0]

PADA7/AN7 DATA[7:0YPH[7:0]
PADA6/AN6
PADAS/ANS
PADM/AN4
PADA3/AN3
PADA2iAN2
8ML
BESET
PADA1/AN1 I:IAI.L
PADAO/ANO BERR
ADC
2 KYBTES
SRAM J8Q1fPF7
VRH
VRL l8Q6IPF6
1805iPFS
JBQ4fPF4
VDDA CPU 16 l8Q3fPF3
VSSA 18Q2IPF2
IRQ1/PF1
MODCLKlPFO
VSTBY VSTBY CLKOUT
XTAL
BKPT/DSCLK CLOCK EXTAL
BKEL
XFC
g.... JeIEE1
IPIPEO VDDSYN
IPIPE1/DSI z DSI TEST
8 DSO TSC TSC
IPIPEO/DSO DSCLK QUOT
FREEZE FREEZE/QUOT

Figure 9. MC68HC16Y1 Block Diagram

Single·Chip Microcontrollers (AMCU) 2.6-16 Motorola Master Selection Guide


CHIP
BKPT/DSCLK BKPT
SELECTS CSBOOT
c:a: IPIPEI
IPIPEO
IPIPEl/DSI f- B8!CSQ
z DSI
0
<.:> DSO BGLCSM.-
IPIPEO/DSO DSCLK BGACKlCSE

ADDR23/GS.1.0/ECLK
FC2 ADDR221CS91PC6
TPUCH[15:0] FCI ADDR21/CS91PC5
T2CUK FCO ADDR20lCSllPC4
ADDB19JCS6/PC3
FC2ICS5/PC2
VSTBY VSTBY FCl/Eill
FCO/CS3JPCO

PADA7/AN7 CPU16
PADA6/AN6 ADDR[l B:l1YPA[7:0]
PADAS/AN5
PADA4IAN4
PADA3/AN3 TPU ADDR[23:0]
PADA2/AN2 2 KBYTES 2KBYTES ADDR[10:3]/PB[7:0]
PADAlIANI STBRAM TPURAM
PADAO/ANO
ADC
ADDR[2:0]
VRH
VRL SIZ1/PE7
S1Z01PE6
AS/PE5
VDOA DS/PE4
VSSA EEL
AllECIff2

VDD~
DSACK1IPEI
DSACKO/PEO

VSS~ 1MB EBI


DATA[15:B]/PG[7:0]
-=-
DATA[7:0yPH[7:0]
GPT

PGP7/1C4/0C5/0Cl IC4IOC5IOCI IlLW-


PGP6/0C4IOCI OC4/0Cl BESET
PGP5/0C3IOCI OC3/0Cl IJAI..L
PGP4IOC2IOCI OC2/0Cl BERR
PGP3IOCI OCI
PGP2IIC3 IC3 lBQZIPF7
PGP111C2 IC2 lBQ6/PF6
PGPOIICI ICI lBQ5/PF5
4BKBYTES JBQ4/PF4
MCCI FLASH lBQliPF3
PWMA PWMA EEPROM lBQ2/PF2
PWMB PWMB IRQ1/PFl
PCLK PCLK MODCLKlPFO
PAl PAl CLKOUT
XTAL
PMC7ITXDA TXDA CLOCK EXTAL
PMC6/RXDA RXDA XFC
PMC5ITXDB o~ TXDB VDDSYN
",0
PMC4IRXDB BlmB
PMC3JSS Ii: ~ TEST

PMC2/SCK 28 SS
SCK
TSC
QUOT
TSC

PMCl/MOSI MOSI FREEZE/QUOT


FREEZE
PMCO/MISO MISO

vPP

Figure 10. MC68HC916Y1 Block Diagram

Motorola Master Selection Guide 2.6-17 Single-Chip Microcontrollers (AMCU)


Table 18. M68HC16 Family Modular Microcontrollers
Part Integration
Number ROM SRAM EEPROM Timer I/O Serial ADC Module Package Comments
132-FC
132-FD 20 Address Lines,
8Ch,
MC68HC16Z1 - lK - GPT 46 QSM
lo-Bit
SIM
144-FM
12 Chip Selects,
Synthesized Clock
144-FV

20 Address Lines,
8Ch, 132-FC
MC68HC16Z2 8K 2K - GPT 46 QSM
lo-Bit
SIM
132-FD
12 Chip Selects,
Synthesized Clock

20 Address Lines,
8Ch, 16o-FT
MC68HC16Yl 48K 2K - TPU+GPT 95 MCCI
lo-Bit
SCIM
16o-FM
9 Chip Selects, Single
Chip or Expanded Mode

20 Address Lines,
2KBEFIash 8Ch,
XC68HC916Xl lK GPT 70 QSM RPSCIM 12o-TH 5 Chip Selects, Single
48KFIash IO-Bit
Chip or Expanded Mode

20 Address Lines,
XC68HC916Yl - 8Ch, SCIM 16o-FT
4K 48K Flash TPU +GPT 95 MCCI 9 Chip Selects, Single
IO-Bit 16o-FM
Chip or Expanded Mode

Single-Chip Microcontroliers (AMCU) 2.6-18 Motorola Master Selection Guide


The M68300 Family
The high-performance M68300 family is designed for M6800 devices, and provides a variety of programmable
embedded control applications. Each M68300 MCU chip-select functions. M68300 devices can be placed in
incorporates a 32-bit M68000-based CPU module (CPU32), low-power stop mode to minimize power consumption during
a sophisticated integration module, and a number of periods of inactivity. The M68300 family provides great design
dedicated special-purpose modules. In addition to utilizing a flexibility, performance, and compatibility with exiting
bus protocol similar to that of the M68020, the system hardware and software.
integration module generates external bus-control signals for

-G-
0::

$1 ~
0..
~l
~
-
--
I-

CSBGGT
CHIP CSO-CS1 BRieso
SELECTS :;:=::: BG/CS1-
BGACKICS2
- .BE
~ agou =: FCOlCS3
FC1/CS4
BGACK
=: FC2ICS5

RAM TPU
FCO
FC1
F2
~li:
u~
-
=:
A19/CSS
A20/cr,2
A21/CS8

~
A22/CS9-
A23/CS10
AD-A23 AD-A1B)
r- __

EBI
DSACK'
DSACK1
AVEC
RMC
-'
Ow
"'l-
-
:::::
OSACKO
DSACK1
mE
BMC
:::::
1-",
DS 20

--
OS
AS 80..
AS
1MB SIZO SIZO
SIZ1 SIZ1
DD-D15
R/W

--
RFf;FT
lAI r - _ MOOCK
BERR 1BQ1

IRD1-R
a
?go :=:::::
l8Q2
JBQ3.
-
~::::: JB.Q5
8
--
QSM CPU32 IIlQ4
MODCK

-
CLOCK ~LKOUT
XTAl
EXTAl
XFC
Vnn~vN
---
r::;
lBQ6
IRQ?

TEST ~
TSTME ~I-- TSTMEITSC
DUOT
~I--
u
FREEZE/QUaT

II~ I~ ~I
L-
N ~
8", eng :5
ou'" en
xen
uu en ~~ ~:iE IgJ en en Ci51~
uo
1-0.. 0..0.. 0 0 o!!:

CONTROL aNTRal
oen r:s
PORTD

IgJ ~I~ ~
8~
I~ ~ I~
N ~
ou
xen
'" uu enu ~ft.l
1-0.. ~~ 0.. en ::;;::;;

Figure 11. MC68332 Block Diagram

Motorola Master Selection Guide 2.6-19 Single-Chip Microcontrollers (AMCU)


VFPE16K
TPUCHAN15-TPUCHANO
T2CLK
VSTBY

CHIP
RXD SELECTS CS800T
...IXQfOS7
ECS3/QS6 llI3ICS!L
ECS2IOS5 8GICSM-
-----ECS1JQS4 BGACKlCSE
PCSOISSlOS3
SCKlOS2 ADDR23JCSWIECLK
MOSI/OS1 FC2 ADDR22!CS9IPC6
MISO/OSO FC1 ADDR21/CSBIPCS
FCO ADDR20/CS1IPC4
ADDB19iCS6IPC3
FC2/CS5IPC2
FClIEl::1
FCO/CS3JPCO
512 3.5 16KBYTES
OSM BYTES KBYTES TPU FLASH
SRAM SRAM EEPROM
ADDR[18:11]/PA[7:0]

ADDR[23:0]
ADDR[10:3]IPB[7:0]

ADDR[2:0]

SIZ1/PE7
SJZOIPE6
ASlPE5
IlSIEE4
BMCIPE3
AllEC.lEE2
DSACKlIPE1
DSACKOIPEO

1MB EBI
DATA[15:8]IPG[7:0]

AN7/PADA7
AN6/PADA6 DATA[7:0YPH[7:0]
AN5/PADA5
AN4IPADM
AN3JPADA3
AN2/PADA2 BlW.-
AN1IPADA1 BESET
ANO/PADAO HAlT
BERRISCENB
VRH
VRL IRO[7:1] lBOZIPF7
PADB7 ADC 48
1BQ6/PF6
PADB6 BYTES
CPU 32 18Q5/PF5
PADB5 In FLASH J8Q4/PF4
c
PADB4 <C EEPROM 18Q3/PF3
PADB3 li=
0 lBQ2/PF2
PADB2 "- IROllPF1
PADB1 MODCLKlPFO
PADBO
CLKOUT
VDDA CLOCK XTAL
VSSA EXTAL
XFC
VDDSYN
VFPE48K

BKPTIDSCLK TSC TSC


TEST
I~DSI
OUOT FREEZE/OUOT
IPIPEIDSO

Figure 12. MC68F333 Block Diagram

Single-Chip Microcontrollers (AMCU) 2.6-20 Motorola Master Selection Guide


Table 19. M68300 Family Modular Microcontrollers
Part Integration
Number ROM SRAM EEPROM TImer 110 Serial ADC Module Package Comments
132-FC,
132-FD
MC68331 - - - GPT 43 QSM - SIM
144-FM,
12 Chip Selects,
Synlhesized Clock
144-FV

132-FC,
132-FD 12 Chip Selects,
MC68332 - 2K - TPU 47 QSM - SIM
144-FM. Synthesized Clock
144-FV

16K Flash.
8Ch. 16G-FT, 9 Chip Selects,
PC68F333 - 4K 48K Flash TPU 96 QSM
lG-Bit
SCIM
16G-FM Synthesized Clock
Emulator

12 Chip Selects, Synthesized


8Ch. 132-FC,
XC68334 - lK - TPU 47 - lG-Bit
SIM
132-FD
Clock, Single Chip or
Expanded Mode

Definitions for Tables 9 and 10


General Definitions Package Definitions
ADC Analog to Digital Converter Module FB 10xl0 mm Quad Flat Pack (QFP)
AID Analog to Digital Converter FC Fine Pitch Plastic Quad Flat Pack (PQFP)
CPU16 16 bit Central Processing Unit FD Plastic Quad Flat Pack in Molded Carrier Ring
CPU32 32 bit Central Processing Unit FE Ceramic Quad Flat Pack (CQFP)
D/A Digital to Analog Converter FM Molded Carrier Flat Pack (CQFP)
DMA Direct Memory Access FN Plastic Leaded Chip Carrier (PLCC)
GPT General-Purpose Timer FS Windowed Cerquad (Ceramic LCC)
IC Input Capture FT 28x28 mm Quad Flat Pack (QFP)
IIC Inter-Integrated Circuit FU 14x14 mm Quad Flat Pack (QFP)
MCCI Multi-Ghannel Communication Interface FV 20x20 mm Quad Flat Pack (QFP)
PLL Phase Lock Loop L Ceramic
OC Output Capture P Dual-in-Line Plastic
POQ Preferred Order Quantity Multiple PB Thin Quad Flat Pack (TQFP) 1Oxl 0 mm
PWM Pulse Width Modulation PU Thin Quad Flat Pack (TQFP) 14x14 mm
QSM Queued Serial Module PV Thin Quad Flat Pack (TQFP) 20x20mm
RPSCIM Reduced Pin Count SCIM S Cerdip (windowed or non-windowed)
RTC Reaf-Time Clock TH 16x16 mm Quad Flat Pack (QFP)
RTI Real-Time Interrupt
SCI Serial Communication Interface
SCIM Single Chip Integration Module
SIM System Integration Module
SPI Serial Peripheral Interface
TPU Time Processing Unit
UART Universal Asynchronous Receiver/Transmitter
WDOG Watch Dog Timer

Motorola Master Selection Guide 2,6-21 Single-Chip Microcontrollers (AMCU)


An EVS is a two-board system consisting of a 68HC11
Microcontroller Platform Board (PFB) and an Emulator Module (EM). The EM
contains control circuits and a 68HC11 MCU for the part or
Development Tools series of parts being emulated. An EVSprovides expanded,
multiplexed, special test, and single-chip mode emulation, a
M68HC05 Family dual 64 kbyte memory map with 64 kbytes of emulation RAM,
and an RS-232 port.
The M68HC05 Family is supported by a variety of
In addition, the Intermetrics Whitesmiths 68HC11 C
development tools including Evaluation Modules (EVM) and
Compiler/Assembler (M68S11 CCAB) and 68HC11 Simulator
Evaluation Systems (EVS). Both provide an economical
Debugger (M68S11SIMAB) are now available through
means of designing, debugging, and evaluating M68HC05
Motorola.
microcontrollers in a target system environment.
Many new M68HC05 CSIC devices are supported by an
MCU-specific EVS. The EVS is .a two-board system Modular Microcontroller
consisting of a 68HC05 Platform Board (PFB) and an
Emulator Module (EM) which contains the emulating Families
microcontroller, and control circuits. In-circuit debuggers for modular microcontroller families
The M68HC05 Family is also supported by the Compact (M681CD32 and M681CD16) are economical development
Development System (CDS) for 8-bit microcontrollers and debugging environments. ICD make use of the
(M68CDS8HC05), a powerful, portable, full-featured non-intrusive Background Debug Mode (BDM) interface, and
emulator for debugging hardware and software operations. provide sophisticated software debugging functions. The ICD
The CDS8HC05 features high-speed, non-invasive, consist of debugger and assembler development software, a
in-circuit emulation with real-time trace, and a powerful bus small interconnect board, and target system cable. The
state analyzer. Commands are entered from an MS-DOS® IASM32 and IASM16 assemblers provide a single
host computer. development environment that includes an editor and
The Motorola Modular Development System for the cross-assembler programs. ICD source-level debugger
M68HC05 Family, MMDS05, allows the use of Emulation software uses easy-to-read screen windows to display
Modules (EM) that are compatible with the existing EVS register information for the CPU, the instruction pointer,
product line. The MMDS05 provides an upgrade for breakpoints, program memory, and data memory.
CDS8HC05 customers. The MMDS05 has all of the features The MC68331 and MC68332 are supported by evaluation
of the CDS8HC05, and includes a notable enhancement. A kits (EVK). These multi-board systems inClude a common
dual-port RAM "memory window" allows a user to to modify platform board, a Business Card Computer (BCC) that
memory while a program is running at full speed. An internal contains the MCU being emulated, and the CPU32BUG
power supply and totally shielded enclosure assure debug monitor program. The EVK is a cost-effective system
compliance with FCC and EC92 regulations. The for designing, debugging, and evaluating target system
development software provided with the MMDS05 is an software and hardware. The MC68340 is supported by an
enhancement of the EVM05/EVM 11 front end - it provides an evaluation system (EVS) similar to the EVK with the addition
integrated development environment with true Source Level of a development interface board for a comprehensive
Debug (SLD). development environment.
The M68HC16Z1 Evaluation Board (EVB) is an
inexpensive tool for designing, debugging, and evaluating the
M68HC11 Family MC68HC16Z1. Features include background-mode
The M68HC11 Family is supported by a variety of operation, an integrated assembly/editing/emulation
economical development tools. These include Evaluation environment, and logic analyzer pod connectors.
Boards (EVB), Evaluation Modules (EVM), and Evaluation Modular evaluation boards (MEVB) for each modular
Systems (EVS). family member are under development. The MEVB system is
An EVB allows a user to debug code under the BUFFALO a multi-board evaluation system that consists of a common
(Bit User Fast Friendly Aid to Logical Operations) platform board (PFB) and interchangeable MCU personality
monitor/debugging program contained in the microcontroller boards (MPB). The MEVB system provides an economical
ROM. The EVB emulates only the single-chip mode of development environment for downloading and debugging
operation and has no EPROM programmer. The EVBU, a software generated with IASM16 and IASM32.
"universal" version of the EVB, includes a wire-wrap area for Motorola also sells the Intermetrics Whitesmiths 68HC16
custom interfacing. C Compiler/Assembler (M68S16CCAB) and 68HC16
EVM are low-cost tools for designing, debugging, and Simulator Debugger (M68S16SIMAB) for the M68HC16
evaluating M68HC11 devices in a target system. An EVM Family. In addition, the Intermetrics InterTools™ 683XX C
provides essential microcontroller signals and timing, and Compiler/Assembler (M68S32CCAB) and 683XX ROM
on-board monitor/debugging firmware contains extensive Monitor Debugger (M68S32ROMAB) for the M68300 Family
commands for contrOlling I/O and debug operations. are now available through Motorola.

Single-Chip Microcontrollers (AMCU) 2.6-22 Motorola Master Selection Guide


Table 20. Development Tools
Evaluation Programmer Evaluation
Devices Modules' Boards Systems/Kits
M6800 Development Tools
MC6801 M68701EVM
MC6801U4 M68701EVM

MC68701 M68701EVM
MC68701U4 M68701EVM
MC6803 M68701EVM
MC6803U4 M68701EVM
M68HC05 Development Tools
MC68HC05B4/B6/B8/B16 M68HC05X16EVS 52PLCCU: 52 Pin PLCC Target Cable
MC68HC705B5 M68HC05X16EVS M68HC05BPGMR
MC68HC705B16 M68HC05X16EVS M68HC05BPGMR Use M68HC05X16PGMR for 64 QFP
MC68HC05C5 M68HC05C5EVS 44 PLCC05M: 44 Pin PLCC Target Cable
XC68HC705C5 M68HC05C5EVS
MC68HC05C4/C4A1C8/C9/C12 M68HC05C9EVS 44 PLCC05M: 44 Pin PLCC Target Cable
XC68HC05C4
MC68HC705C8 M68HC05C9EVS M68HC05PGMR-2
XC68HC705C

MC68HC05D9/D24 44 PLCC05M: 44 Pin PLCC Target Cable


XC68HC05D32 M68HC05D32EVS
MC68HC705D9 M68HC05D32EVS M68HC05PGMR-2
MC68HC05E1 M68HC05E1EVS
MC68HC705E1 M68HC05E1 EVS
XC68HC05F2 M68HC05F6EVM 42 SDIP Target Cable Included
XC68HC05F6
XC68HC05F8 M68HC05F8EVM
XC68HC705F8 M68HC05F8EVM M68HC705F8PGMR
MC68HC05G1 M68HC05G1 EVM
MC8HC705G1 M68HC05G1 EVM M68HC705G1 PGMR
XC68HC05G9 M68HC05G9EVM
XC68HC705G9 M68HC05G9EVM M68HC705G9PGMR
XC68HC05G10 M68HC05G10EVM
XC68HC705G10 M68HC05G10EVM
XC68HC05H2 M68HC05H2EVS
XC68HC0518 M68HC0518EVS
XC68HC70518 M68HC0518EVS M68HC705L4PGMR
MC68HC05J1 M68HC05P8EVS
MC68HC705J2 M68HC05P8EVS M68HC705J2PGMR
XC68HC05J3 M68HC05J3EVS
XC68HC705J3 M68HC05J3EVS M68HC705J2PGMR
XC68HC05KO/K1 M68HC705KIGANG" M68HC705KICS In-Circuit Simulator
XC68HC705K1 Use M68HC705KICS M68HC705KICS In-Circuit Simulator
." EVSs and EVMs include an Integrated Development Environment (IDE) which contains an editor, assembler and hardware debugger.
• EVSs and EVMs do not include target cables or OTP/EPROM programming capability unless noted in comment section .
•• Development tools that are scheduled for availability during 1094.

Motorola Master Selection Guide 2.6-23 Single-Chip Microcontrollers (AMCU)


Table 20. Development Tools (continued)
Evaluation Programmer Evaluation
Devices Modules' Boards Systems/Kits

M68HC05 Development Tools (continued)


XC68HC05Ll M68HC05L1EVM 56 SDIP Target Cable Included
XC68HC705L 1 M68HC05L1 EVM

XC68HC05L2 M68HC05L2EVS
XC68HC705L2 M68HC05L2EVS M68HC705L2PGMR

XC68HC05L4 M68HC05L4EVS M68SDIP64: 64 Pin SDIP Target Cable


XC68HC705L4 M68HC05L4EVS M68HC705L4PGMR

MC68HC05L5 M68HC05L5EVS 800FPUKIT: 80 Pin OFP Target Cable


MC68HC705L5 M68HC05L5EVS M68HC705L5PGMR

MC68HC05L7/L9 M68HC05L9EVM2

MC68HC05L10 M68HC05L1OEVM
XC68HC05L11 M68HC05L11 EVM

XC68HC05M4 M68HC05M4EVM

XC68HC05P3 M68HC05P3EVS

MC68HC05P1/P4/P6/P7/P9 M68HC05P9EVS XMDS05 Hi-Performance In-Circuit


Emulator
XC68HC705P9 M68HC05P9EVS M68HC705P9PGMR 68HC705P6 is required for P6 EVS
Capability

MC68HC05P8 M68HC05P8EVS

XC68HC05SCll/SC21/SC24/SC27 M68HC05SCEVS ISO Adaptor Included with M68HC05SCEVS


MC68HC05T1 M68HC05T2EVS
XC68HC05T2IT3

XC68HC05T4 M68HC05T4EVM

MC68HC05T71T1 0 M68HC05T7EVM
XC68HC705Tl0 M68HC05T7EVM M68HC705Tl0PGMR
XC68HC05T12 M68HC05T12EVM
XC68HC705T12 M68HC05T12EVM M68HC705T12PGMR

XC68HC05X4 M68HC05X4EVS
XC68HC705X4 M68HC05X4EVS M68HC705X4PGMR

XC68HC05X16 M68HC05X16EVS 68 PLCCU: 68 Pin PLCC Target Cable


MC68HC705X16 M68HC05X16EVS M68HC705X16PGMR
M68HC11 Development Tools
MC68HCll AO/Al/A8 M68HCllEVB M68HCllEVM
M68HCll EVB2
M68HCll EVBU

MC68HCll DO/D3 M68HCllEVM M68HCll D3EVS


MC68HC711 D3 M68HC711 D3EVB M68HCl1EVM M68HCll D3EVS

MC68HC11 EO/El/E2/E9 M68HCllEVB M68HCl1EVM


M68HCllEVBU
MC68HC711 E'9 M68HCll EVBU M68HCllEVM
MC68HC811 A8/E2 M68HCllEVB M68HCllEVM
M68HC11 EVBU
• EVSs and EVMs include an Integrated Development Environment (IDE) which contains an ednor, assembler and hardware debugger.
• EVSs and EVMs do not include target cables or OTP/EPROM programming capability unless noted in comment section .
•• Development tools that are scheduled for availability during 1094.

Single·Chip Microcontrollers (AMCU) 2.6-24 Motorola Master Selection Guide


Table 20. Development Tools (continued)
Evaluation Programmer Evaluation
Devices Modules' Boards Systems/Kits
M68HC11 Development Tools (continued)
MC68HCllFl M68HCllF1EVS
MC68HCllG5/G7 M68HCllG7EVS
MC68HC711G5
MC68HCllKA4 M68HCll KA4EVS
MC68HCll KO/K1/K4 M68HCll K4EVS
MC68HC711K4
MC68HC11 LO/L1/L6 M68HC11L6EVS
MC68HC711L6
MC68HC11M2 M68HCllKMNPEVS
MC68HC711M2
MC68HC11N4 M68HCll KMNPEVS
MC68HC7llN4
MC68HCllP2 M68HCll KMNPEVS
MC68HC711 P2
M68HC16 Development Tools
MC68HC16Y1 MG8MEVB16Yl
MC68HC16Zl M68MEVB16Z1
MC68HC16Z2 M68MEVB1.6Zl
M68300 Development Tools
MC68331 M68MEVB333 M68331EVK
MC68332 M68MEVB16Zl M68332EVS/M68332EVK
MC68F333 M68MEVB333
MC6805R2IR3
• EVSs and EVMs Include an Integrated Development Environment (IDE) which contains an editor, assembler and hardware debugger.
• EVSs and EVMs do not include target cables or OTP/EPROM programming capability unless noted in comment section .
•• Development tools that are scheduled for availability during 1094.

Motorola Master Selection Guide 2.6-25 Single-Chip Microcontroliers (AMCU)


Fuzzy Logic
Fuzzy logic replaces conventional programming • Runs a software simulation of the inference engine
techniques with a simpler approach to control algorithms. and displays a two-dimensional plot of the control
Fuzzy logic uses a series of case statements to create surface
sophisticated features that do not require additional memory • Generates real-time code for the standard M68HC05
or excessive processing time. or M68HC11 microcontroller families which can be
Motorola's portfolio of fuzzy logic products is geared for downloaded to an evaluation module (EVM) for
every level of user. The fuzzy logic educational kit (part in--circuit emulation
number FLEDKTOO) includes everything needed to learn how • Demonstration-version of Aptronix's Fuzzy Inference
to use fuzzy logic with M68HCOS and M68HC11 microcontrollers. Development Environment (FIDE) software
• An easy-to-follow PC-based tutorial • Features powerful, time-saving debug functions to
• Explains fuzzy logic fundamentals, basic concepts help determine the correct membership functions and
and terminology rules for any application
• Methodology section teaches a five-step sequence or • Demonstrates easy-to-use graphical interface for
principles and procedures for designing a fuzzy logic designing and debugging integrated systems
system. These include defining the control system, Aptronix's Fuzzy Inference Development Environment
writing rules and membership functions, tuning and (FIDETM) is a powerful software tool that allows users to easily
debugging and optimizing the deSign. edit, Simulate, debug, and tune the membership functions and
• Advanced topics section covers areas such as rules of a fuzzy logic application. FIDE offers graphical and
stability, adaptability, ambiguity, noise, alpha--cuts and natural language editing of source files. The user-friendly
contribution weights debug tools allow time domain simulations,
• A Knowledge Base Generator (KBG) three-dimensional surface displays of input-to-output
relationships, and linkage of fuzzy and non-fuzzy modules.
• Uses natural language inputs to generate a
knowledge base (rules and membership functions) FIDE also generates assembler code that implements fuzzy
• Inference Engines for the M68HC11 and M68HC05 logic on Motorola microcontrollers.
families implement the fuzzy logic in software ready to
embed in your Motorola microcontroller application

On-Line Help
• Press releases and updates concerning new and
Microcontroller Electronic phase-out products
Bulletin Board • Contests, promotions and seminars
• Electronic mail service
Freeware Data Service provides a direct line to the latest
information and software for Motorola microcontrollers. The
Freeware bulletin board provides access to: How to Access Freeware
• Development Software for PC and Macintosh You can access Freeware from anywhere in the world. To
Computers log on, you'll need the following eqUipment:
• Cross Assemblers
1. 2400/1200/300 baud modem
• Small C Compiler for 68HC11
2. Terminal, MS-DOS personal computer or Macintosh
• EVM and EVB Monitor/Debugger Object Code
computer
• Development software
3. Telephone line
• Floating Point Routines
This equipment will allow the user to read files and post
• Fast Fourier Transform Routines
questions. However, with a file transfer program such as
• 16-Bit Math Packages
XMODEM, YMODEM or Kermit, all information can be
• Utility Programs
downloaded to your terminal or PC.
• User Group Library Routines and User-Donated
Programs To log on:
• Kermit File Transfer Program 1. Dial (512) 891-FREE (891-3733). Be sure to set the
• Terminal Emulation Program character format to 8 data, no parity, 1 stop bit.
• Masked ROM information 2. Follow directions from the system.
• MCU literature listings 3. Read log-on messages, then follow the directions on the
• Updates/Erratas to existing literature screen display. A log-on session is limited to 120 minutes.

Single-Chip Microcontrollers (AMCU) 2.6-26 Motorola Master Selection Guide


Third-Party Support
Development support for Motorola microcontroliers is available from a variety of independent suppliers.

Third-Party Development Tools


Table 21. Software Products
M68HC05 M68HC11 M68HC16 M68300
Family Family Family Family
Simulators
Byte Craft Ltd. Avocet Systems, Inc. P&E Microcomputer Systems, Inc. Software Environments Ltd.

P&E Microcomputer Systems, Inc. Nohau Corp.

PseudoCorp. P&E Microcomputer Systems, Inc.

TECi

Assemblers
2500AD Software, Inc. 2500AD Software, Inc. 2500AD Software, Inc. Avocet Systems, Inc.

American Arium Archimedes Software, Inc. Byte Craft Ltd. Eyring Systems Software Division

Byte Craft Ltd. Avocet Systems, Inc. Eris Systems, Inc. Introl Corp.

Computer Systems Consultants, Computer Systems Consultants, Inc Introl Corp. Micro Dialects, Inc.
Inc.

Eris Systems, Inc. Eris Systems, Inc. Micro Dialects, Inc. Microtec Research, Inc.
Introl Corp. Introl Corp. P&E Microcomputer Systems, Inc. Oasys, Inc.

Lloyd 110, Inc. Lloyd 110, Inc.

LOGISOFT LOGISOFT

Micro Dialects, Inc. Micro Dialects, Inc.

Onset Computer Corp.

P&E Microcomputer Systems, Inc.

PseudoCorp.

TECi

Symbolic Oebuggers
2500AD Software, Inc. 2500AD Software, Inc. Byte Craft Ltd. Eyring Systems Software Division

Byte Craft Ltd. Microtec Research, Inc. Integrated Systems, Inc.

P&E Microcomputer Systems, Inc. P&E Microcomputer Systems, Inc. JMI Software Consultants, Inc.

TECi TECi

Wytec Company

Compilers
American Arium 2500AD Software, Inc. Byte Craft Ltd. Eyring Systems Software Division

Byte Craft Ltd. Archimedes Software, Inc. Intermetrics Microsystems Software, Forth, Inc.
Inc.

Avocet Systems, Inc. Introl Corp. Integrated Systems, Inc.

Forth, Inc. Software Environments Ltd. Intermetrics Microsystems Software,


Inc.

Intermetrics Microsystems Software, Introl Corp.


Inc.

Introl Corp. Laboratory Microsystems Inc.

Laboratory Microsystems Inc. Microtec Research, Inc.

New Micros, Inc. Microware Systems Corp.

Software Environments Ltd. RAVEN Computer Systems

SYNGEN Industrial Control Sierra Systems

Motorola Master Selection Guide 2.6-27 Single-Chip Microcontrollers (AMCU)


Table 21. Software Products (continued)
M68HC05 M68HC11 M68HC16 M68300
Family Family Family Family
Source Level Oebuggers
Byte Craft Ltd. Huntsville Microsystems, Inc. Huntsville Microsystems, Inc. Embedded Support Tools Corp.

Yokogawa Digital Computer Corp. Intermetrics Microsystems Software, Intermetrics Microsystems Software, Eyring Systems Software Division
Inc. Inc.
Introl Corp. Introl Corp. GreenSpring Computers, Inc.

Yokogawa Dignal Computer Corp. Yokogawa Dignal Computer Corp. Huntsville Microsystems, Inc.
Integrated Systems, Inc.
Intermetrics Microsystems Software,
Inc.
Introl Corp.
Microtec Research, Inc.
Sierra Systems
Yokogawa Digital Computer Corp.

Real-Time Executives
Accelerated Technology, Inc. A. T. Barrett & Associates Accelerated Technology, Inc.
A. T. Barrett & Associates U S Software Corporation A. T. Barrett & Associates
U S Software Corporation Eyring Systems Software Division
GreenSpring Computers, Inc.
Integrated Systems, Inc.
JMI Software Consultants, Inc.
Microware Systems Corp.
Ready Systems
U S Software Corporation

Other
PsuedoCorp Logic Automation Inc. Momentum Data Systems, Inc. Avocet Systems, Inc.
LOGISOFT U S Software Corporation CARDIools Systems Corp.
PsuedoCorp Eyring Systems Software Division
U S Software Corporation GreenSpring Computers, Inc.
Integrated Systems, Inc.
JMI Software Consultants, Inc.
Logic Automation Inc.
Microware Systems Corp.
U S Software Corporation

Single-Chip Microcontroliers (AMCU) 2.6-28 Motorola Master Selection Guide


Table 22. Hardware Products
M68HC05 Family M68HC11 Family M68HC16 Family M68300 Family
Logic Analyzers
American Arium Hewlett-Packard Hewlett-Packard

Hewlett-Packard Tektronix, Inc.

Step Engineering

Tektronix, Inc.

Emulators
American Arium Advance Electronic Diagnostics, Inc. Embedded Support Tools Corp. Advance Electronic Diagnostics, Inc.

Applied Microsystems

Orion Instruments, Inc. American Arium Huntsville Microsystems, Inc. Embedded Support Tools Corp.

Pentica Systems Inc. Huntsville Microsystems, Inc. Nohau Corp. Hewlett-Packard

Sophia Systems & Technology MetaLink Corp. Pentica Systems, Inc. HUntsville Microsystems, Inc.
TECi Nohau Corp. Yokogawa Digital Computer Corp. Microtek Intemational

Thorson Engineering Co. Orion Instruments, Inc. Nohau Corp.

Trace Technology Ltd. Pentica Systems Inc. Pentica Systems Inc.

Yokogawa Digital Computer Corp. Sophia Systems & Technology Yokogawa Digital Computer Corp.

TECi

Thorson Engineering Co.

Wytec Company

Yokogawa Digital Computer Corp.

Evaluation Boards
Elan Digital Systems Elan Digital Systems New Micros, Inc. GreenSpring Computers, Inc.

Mosaic Industries, Inc. New Micros, Inc.

New Micros, Inc.

Other
3M Electronic Products Division 3M Electronic Products Division AMP Inc. Emulation Technology, Inc

AMP Inc. AMP Inc. P&E Microcomputer Systems, Inc. Pentica Systems Inc.

EEToois Co. Elan Digilal Systems

Elan Digilal Systems Emulation Technology, Inc.

Pentica Systems Inc. Pentica Systems Inc.

TECi SYNGEN Industrial Control

Motorola Master Selection Guide 2.6-29 Single·Chip Microcontrollers (AMCU)


Table 23. Contact List
Company Phone
3M Electronic Products Division (512) 984-3441
2500AD Software, Inc. (719) 395-8683
A. T. Barrett & Associates (713) 728-9688
Accelerated Technology, Inc. (205) 450-0707
Advance Electronic Diagnostics, Inc. (602) 861-9359
American Arium (714) 731-1661
AMP Inc. (800) 52AMP52
Applied Microsystems (800) 426-3925
Archimedes Software, Inc. (415) 567-4010
Avocet Systems, Inc. (800) 448-8500
Byte Craft Ltd. (519) 888-6911
CARDtoois Systems Corp. (408) 559-4240
Computer Systems Consultants, Inc (404) 483-4570
EEToolsCo. (716) 346-6973
Elan Digital Systems (4489) 579799
Embedded Support Tools Corp. (617) 828-5568
Emulation Technology, Inc. (408) 982-0660
Eris Systems, Inc. (612) 374-2967
Eyring Systems Software Division (801) 375-2434
Forth, Inc. (213) 372-8493
GreenSpring Computers, Inc. (415) 327-1200
Hewlett-Packard (800) 447--3282
Huntsville Microsystems, Inc. (205) 88HlO05
Integrated Systems, Inc. (408) 980-1500
Intermetrics Microsystems Software, Inc. (617) 661-0072
Introl Corp. (414) 327-7171
JMI Software Consultants, Inc. (215) 628-0840
Laboratory Microsystems Inc. (310) 308-7412
Lloyd I/O, Inc. (503) 222-0702
Logic Automation Inc. (503) 690-6900
LOGISOFT (408) 773-8465
MetaLink Corp. (602) 926-0797
Micro Dialects, Inc. (513) 271-9100
Microtec Research, Inc. (408) 980-1300
Microtek International (503) 645-7333
Microware Systems Corp. (515) 224-1929
Momentum Data Systems, Inc. (714) 577-6894
Mosaic Industries, Inc. (415) 790-1255
New Micros, Inc. (214) 338-2204
Nohau Corp. (408) 868-1820
Oasys,lnc. (617) 862-2002

Single·Chip Microcontrollers (AMCU) 2.6-30 Motorola Master Selection Guide


Table 23. Contact List (continued)
Company Phone
Onset Computer Corp. (508) 563-9000
Orion Instruments, Inc. (800) 729-7700
P&E Microcomputer Systems, Inc. (617) 944-7585
Pentica Systems Inc. (617) 275-4419
PseudoCorp. (804) 873-1947
RAVEN Computer Systems (612) 636-0365
Ready Systems (800) 228-1249
Sierra Systems (510) 339-8200
Software Environments Ltd. (714) 588-9685
Sophia Systems & Technology (800) 824-9294
Step Engineering (408) 733-7837
SYNGEN Industrial Control (403) 986-1203
TECi (802)525-3458
Tektronix, Inc. (503) 629-1773
Thorson Engineering Co. (206) 334-4214
Trace Technology Ltd. 0234266455
U S Software Corporation (503) 641--8446
Wytec Company (708) 894-1440
Yokogawa Digital Computer Corp. (415) 570-7050

Motorola Master Selection Guide 2.6-31 Single·Chip Microcontrollers (AMCU)


Single-Chip Microcontrollers (AMCU) 2.6-32 Motorola Master Selection Guide
LONWORKS™NEURON Ie Products

In Brief ..
Motorola's NEURON@ CHIP processors are Page
NEURON CHIPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2.7-2
sophisticated VLSI devices that make it possible to
LONWORKS Technology Overview and Architecture .. 2.7-5
implement low-cost Local Operating Network applications.
LONBulLDER Developer's Workbench .............. 2.7-6
The unique combination of hardware and firmware provides
LONWORKS Support Tools ........................ 2.7-7
all the key functions necessary to process inputs from
LONWORKS Literature ............................ 2.7-9
sensors and control devices intelligently, and propagate
control information across a variety of network media.
Used in conjunction with the LONBulLDER™ Developer's
Workbench or the NODEBulLDER™ Development Tool, the
NEURON CHIPS make available to a system designer an
object-oriented, high-level environment providing for the
easy implementation of distributed sense and control
networks, flexible reconfiguration capability after network
installation, and management of LONTALK™ protocol
messages on the network.
Applications include distributed sense and control
systems, instrumentation, machine automation, processor
control, diagnostic equipment, environmental monitoring
and control, power distribution and control, production
control, lighting control, building automation and control,
security systems, data collection/acquisition, robotics, home
automation, consumer electronics, and automotive
electronics.

Motorola Master Selection Guide 2.7-1 LONWORKS Products


NEURON CHIPS

Motorola's NEURON CHIPS, the MC143120 and MC143150, memory requirements.


are the brains behind LONWORKS technology. These VLSI
devices are specifically designed for distributed systems
where sensing, processing, control, and communication are MC143120 Features
paramount. With LONWORKS development tools and software, The MC143120B1DW/MC143120E2DW is a complete
they form a complete system solution that provides easy system-on-a-chip that integrates 10K ROM, 1Kl2K RAM,
development of Local Operating Networks. and 512 bytes EEPROM. The ROM is used for storing
The power of both chips lies in their three respective LONTALK protocol, operating system, and 24 1/0 models that
on-board CPUs, high-speed serial communications ports (up can be accessed by the application program. An additional 10
to 1.25 MBps), and LONTALK communications protocol which 1/0 models are loaded into EEPROM if needed. Application
is based on the OSI reference model. The difference between program data is stored in RAM or the internal EEPROM. The
the two integrated circuits lies in the type and size of memory application program and system configuration data reside in
configuration; the MC143120 is targeted for cost-sensitive the MC143120's internal EEPROM. The MC143120 is
designs with small application programs running in internal available in a 32-pin SOG.
EEPROM; the MC143150 is for larger systems with expanded

"'- r-
CP4
MAC CP3
PROCESSOR NETWORK
COMM. CP2
CJ)
::::l PORT CPl
CJ)
::::l '"~ CPO
'"
CJ)
CJ) ;§
w !::
a:
NETWORK Cl
Cl
...:
'"
ob
PROCESSOR
>-
APPLICATIONS
~ 1/0:

GENERAL
APPLICATION 1/0
PROCESSOR 1010
PARAllEL
PORT

SERIAL 100
RAM PORT
1K12K BYTES
2TIMERJ
COUNTERS

EEPROM
51212K BYTES

ClK1
ROM CLOCKING
10K BYTES ClK2
AND
SERVICE
"- "\. CONTROL
RESET

Figure 13. MC143120 (32-Lead SOG)

LONWORKS Products 2.7-2 Motorola Master Selection Guide


NEURON CHIPS (continued)

MC143150 Features application program. The NEURON IC supports a maximum


The MC143150 conlains an additionallKofon-chip RAM clock rate of 10 MHz.
(2K total) but no on-board ROM. An external memory Both NEURON CHIPS have eleven I/O pins (10.0 - 10.10)
interface allows the system designer to use 42K of the to provide flexible interfacing to external hardware and access
available 64K of address space for application program to two internal timers/counters. 10.4 - 10.7 have optional
storage. The remaining address space is reserved for pull-up resistors. Pins 10.0 - 10.3 have high current sink
LONTALK communications protocol, operation system, and up capability (20 mA @ 0.8 V) while the others have a standard
to 34 I/O models which are supplied with the LON BUILDER sink capability of 1.4 mA @ 0.4 V. All I/O pins have TTL-level
Developer's Workbench or NODEBulLDER Development Tool. inputs with hysteresis.
The protocol and application code can be located in external There are two versions of the MC143150 NEURON IC that
ROM, EEPROM, NVRAM, or battery-backup static RAM. The offer different cost and technical advantages. The
MC143150 is available in a 64-pin QFP. MC143150FU operates up to a maximum clock rate of 10 MHz
over a temperature range of -40 to +85°C. The
MC143150FUl is a lower cost device that operates up to
Shared Strengths
5 MHz over the same temperature range and consumes less
Of the three processors on-board each NEURON CHIP, two power. The key difference between the two ICs is in the cost
(MAC and Network processors) implement a communication saving gained by using an external 200 ns EPROM memory
subsystem, enabling the automatic transfer of information device with the MC143150FUl as opposed to a 90 ns memory
from node to node. The remaining processor handles the device for a 10 MHz clock rate with the MC143150FU.

Integrated Circuits
Motorola Leads-
Part No. Description Package Samples Production Document#

MC143120DW NEURON IC lK RAM/512 EEPROM/10K ROM, 10 MHz, 1.2 11m 32-50G PhaseOut Phase Out BRl134/D
DL159/D
MC143120B1DW NEURON IC lK RAM/512 EEPROM/10K ROM, 10 MHz, 0.8 11m 32--50G Now Now
MC143150FU NEURON IC 2K RAM/512 EEPROM, 10 MHz, 1.2 11m 64-POFP Phase Out Phase Out

MC143150FUl NEURON IC 2K RAM/512 EEPROM, 5 MHz, 1.2 11m 64-POFP Now Now
MC143150B1FU NEURON IC 2K RAM/512 EEPROM, 10 MHz, 0.8 11m 64-POFP Phase Out Phase Out

MC143150B1FUl NEURON IC 2K RAM/512 EEPROM, 10 MHz, 0.8 11m 32-S0G 1095 1095

MC143120E2DW NEURON IC 2K RAM/2K EEPROM, 10 MHz, 0.71 11m 32-S0G 4095 1096

Motorola Master Selection Guide 2.7-3 LONWORKS Products


'"'- r'-
CP4
MAC CP3
PROCESSOR NETWORK
COMM. CP2
en
::> PORT CP1
en CD
::>
CD
en
en
~
Cl
CPO
UJ !:::
ex: CD
NETWORK Cl
Cl
a,
PROCESSOR «
!:::
; APPLICATIONS
1/0:

GENERAL
APPLICATION 1/0
PROCESSOR 1010
PARALLEL
PORT

SERIAL 100
RAM PORT
2K BYTES
2TIMERI
COUNTERS

EEPROM
512 BYTES

ADDRESS
CLK1
DATA CLOCKING CLK2
"- ""- AND
SERVICE
RIV!' CONTROL
E RESET

Figure 14. MCl43150 (64-Lead PQFP)

LONWORKS Products 2.7-4 Motorola Master Selection Guide


LONWORKS Technology Overview and Architecture
LONWORKS technology is a complete solution for of network physical layers. In one convenient package,
implementing distributed control networks. These networks designers can now access all the elements required to design,
consist of nodes that communicate with one another over a install, and support control networks. Those elements include:
variety of communications media using LONTALK protocol, a the MC143150 and MC143120 NEURON CHIPS, LONWORKS
common, message-based communications protocol. In a transceivers, the LON BUILDER Developer's Workbench, and
LONWORKS application, nodes sense, monitor, count, LONTALK protocol.
measure time, manage switches and relays, and respond to LONTALK protocol features seven layers, each optimized for
conditions reported by other smart nodes. control networks, and is based on the OSI reference model.
LONWORKS technology includes all of the hardware and LONTALK protocol is embedded within the firmware of
firmware functions needed to process data within nodes and Motorola's NEURON CHIPS and is the foundation of the
to communicate information among nodes through a variety LONWORKS technology networking solution.

Networking Medium (Twisted Pair, RF, Power Line, etc.)

Figure 15. MC143150 in a Typical Node Block Diagram

Network Transmission Medium

LONWORKS
Router

Network Transmission Medium


Figure 16. The MC143150 or MC143120 in a LONWORKS Network

Motorola Master Selection Guide 2.7-5 LONWORKS Products


LONBulLDER Developer's Workbench(1)
Thanks to Echelon's LON BUILDER and NODEBulLDER tools, as The LON BUILDER Developer's Workbench includes two PC
well as Motorola's extensive technical support network, both interface cards, two LONWORKS transceivers, an expandable
system and device manufacturers can.now develop control development station with two NEURON CHIP emulator cards,
networks quickly and inexpensively. These tools provide DOS-based software for compiling, loading, integrating and
developers with everything needed to begin building testing LONWORKS applications, and Windows-based
LONWoRKs-based products immediately. The NODE BUILDER software for monitoring and controlling a LONWORKS
Development Tool is used to design individual LONWORKS application.
products while the LON BUILDER Developer's Workbench The LONWORKS NODE BUILDER Development Tool is used to
features the tools required to develop systems consisting of design LONWORKS nodes. The NODEBulLDER tool does not
multiple LONWORKS nodes. Best of all, technical support for include the system integration and test tools incorporated into
LONWORKS technology is available worldwide through the LON BUILDER Developer's Workbench, but does include all
Motorola's 30 LONWORKS design centers. the tools required to compile, load, and test code for a
LON BUILDER Developer's Workbench combines three LONWORKS node. NODEBulLDER includes Windows-based
development tools - a multi-node development system, a software, a PC interface card, a prototype LONWORKS node,
network manager, and a protocol analyzer - into an and two LONWORKS transceivers that are used to develop and
integrated hardware and software development environment. test LONWORKS nodes.
This development system provides the tools to create The LON BUILDER development tool requires a PC with an
software applications and prototype hardware on a network available 8- or 16-bit slot, DOS 3.3 or higher, 64K bytes of
ranging from two to hundreds of nodes. The network manager RAM, mouse, and a hard disk with 10M bytes of available
installs and configures nodes during development, making storage. The NODE BUILDER tool requires a Microsoft®
them easy to connect, define, and build. The protocol analyzer Windows-compatible PC with an available 16-bit slot,
monitors the network and interprets its activity. 8M bytes of RAM, mouse, and a hard disk.

(1) Motorola supports these tools, but they should be purchased through Echelon Corporation (1-800-258-4566).

LONWORKS Products 2.7-6 Motorola Master Selection Guide


LONWORKS Support Tools
Motorola's LONBulLDER support tools offer the user a quick
and flexible means to demonstrate or test a LONWORKS based
product which was developed and debugged on the
LONBulLDER Developer's Workbench. The family of tools
consist of NEURON CHIP based development boards, 1/0
application boards, a Differential Direct Connect Transceiver
Board (for the LONBulLDER Developer's Workbench), and a

RJ45

M143204EVK
DIFFERENTIAL I/O
DIRECT
CONNECT
TRANSCEIVER

GIZMO 4

M143207EVK
I/O INTERFACE
BOARD

GIZMO 3
RJ45 MC143120
M143206EVK
I/O INTERFACE
BOARD

NEURON CHIP
EVALUATION BOARD

MC143120/50 sockets
RJ45 sockets

P3~~.~.1
111~ ~ too .'d . .

1;31~il

ll {~:~"d':f:'.{
:"::; i .:;: : x: ':

MEMORY
~! : : .:.
I/O

M143205EVK
NEURON CHIP
TEST/PROGRAMMING
M143208EVK
I/OTESBOARD

BOARD

Figure 17. Evaluation and I/O Interface Boards

Motorola Master Selection Guide 2.7-7 LON WORKS Products


NEURON CHIP Test/Programming Board. The unique • A library of application functions are available from
. advantages that these tools offer are: Motorola .
• The boards all have RJ45 connectors allowing ease of • An inexpensive means of demonstrating LONWORKS
connectivity. based products.
• The NEURON CHIP boards contain a 5 volt regulator This document covers a brief detail on each of the boards.
allowing for a wider range of power supply voltages. For further information, contact Motorola'S LONWORKS
• A common 2 x 10 connector for interface to the NEURON applications support team in Austin, Texas at 512-505-8330
CHIP I/O pins. or FAX 512-505-8312.

Motorola Support Tools for LONWORKS

Motorola
Part No. Description Production Document#
M143120EVK 143120 NEURON IC Custom Node Development Board with Socket, Supports all BR1139
MC143120 NEURON Chips
M143120B1EVBU MC143120B1 DW NEURON IC Custom Node Development Board
M143150EVK MC143150FU NEURON IC Custom Node Development Board
M143150B1EVBU MC143150B1FU NEURON IC Custom Node Development Board
M143204EVK Direct Connect Transceiver Board
M143206EVK NEURON IC I/O Interface Board (Gizmo 3)
M143207EVK NEURON IC I/O Interface Board (Gizmo 4)
M143208EVK NEURON IC I/O Interface Test Board (Gizmo 5)
M143213EVK5 NEURON IC RF Radio with EIA-232 Interface (US Version)
M143213EVK6 NEURON IC RF Radio with EIA-232 Interface (European Version)
M143214EVK5 NEURON IC RF Radio with I/O Interface (US Version)
M143214EVK6 NEURON IC RF Radio with I/O Interface (European Version)
M143215EVK5 RF Radio for Router Interface (US Version)
M143215EVK6 RF Radio for Router Interface (European Version)
M143221EVK EIA-232 EVBU Interface Board
M143222EVK Intelligent Neuron IC Cards (5 Cards, to be used w~h M143223EVK Card Reader)
M143223EVK NEURON Chip Card Reader Board (to be used with M143222EVK Cards)
M143226EVK Intelligent NEURON IC Kit with UART Port
M143232EVK ADPCM Voice Application Kit

LONWORKS Products 2.7-8 Motorola Master Selection Guide


LONWoRKS Literature
Motorola Echelon
Document No. No. Description
DL159/D LONWORKS Technology Device Data
BR1134/D NEURON CHIP Product Overview
BR1139/D LONWORKS Support Tools

Current versions (Q4/95) of the following Engineering Bulletins and Application Notes are incorporated into Motorola
publication DL 159/0, LON WORKS Technology Device Data.

AN1208lD Parallel I/O Interface to the NEURON CHIP


AN1211/D Interfacing DACs and ADCs to the NEURON IC
AN1216/D Setback Thermostat Design Using the NEURON IC
AN 1225/0 Fuzzy Logic and the NEURON CHIP
AN1247/D MC683XX to NEURON CHIP Parallel I/O Interface
AN1248/D Interfacing the PSD3XX to the MC143150
AN1250/D Low-Cost PC Interface to LONWORKS Based Nodes
AN1251/D Programming the MC143120 NEURON CHIP
AN 125210 MIP Guidelines and Design Issues

EB146/D 005-0003-01 A NEURON CHIP Quadrature Input Function Interface


EB147/D 005-0006-01B LONWORKS Installation Overview
EB148/D 005-0001-01B Enhanced Media Access Control with Echelon's LONTALK Protocol
EB149/D 005-0011-01 A Optimizing LONTALK Response Time
EB150/D 005-0009-01 A NEURON CHIP EIA-485 Transceiver
EB151/D 005-o004-D1A Scanning a Keypad with the NEURON CHIP
EB1521D 005-0002-01 A How to Use SNVTs in LONWORKS Applications
EB153/D 005-0014-01B Driving a Seven-Segment Display with the NEURON CHIP
EB155/D 005-o019-01B Analog-to-Digital Conversion with the NEURON CHIP
EB157/D 005-o016-01B Creating Applications with the LON BUILDER Multi-Function I/O Kit
EB159/D 005-o022-o1B NEURON CHIP-Based Installation of LONWORKS Networks
EB161/D 005-0017-01 B LONTALK Protocol
EB167/D 005-0043-01 A A Hybrid System for Fast Synchronized Response
EB168/D 005-o006-01C EIA-232C Serial Interfacing with the NEURON CHIP
EB169/D 005-0032-o1C LONWORKS 78 kbps Self-Healing Ring Architecture
EB170/D 005-0010-01 A LONTALK Response Time Measurements
EB171/D 005-0013-01 B NEURON 3150 CHIP External Memory Interface
EB1721D 005-0024-D1 A LONWORKS Custom Node Development
EB173/D 005-0027-o1F The SNVT Master List and Programmer's Guide
EB174/D 005-0023-01 A Junction Box and Wiring Guidelines for Twisted Pair LONWORKS Networks
EB175/D 005-007-01G NEURON C Extended Arithmetic Support

The following documents can be ordered from Echelon Corporation.

078-0001-01 A Lon Builder User's Guide


076-0002-01 NEURON C Programmer's Guide
076-0140-01 NEURON C Reference Guide

Contact Motorola or Echelon (415-855-7400) for additional documentation.

Motorola Master Selection Guide 2.7-9 LONWORKS Products


LoNWORKS Products 2.7-10 Motorola Master Selection Guide
Memory Products

In Brief ...
Motorola's memory product portfolio has been expanded Page
to support a broad range of engineering applications. Fast Static RAMs ... , ............... , .......... . 2.8-2
Included in this portfolio are asynchronous devices with Introduction ................................ . 2.8-2
access times of 6 ns at 256K-bit density, 6 ns at 5 V 1 Application Specific Static RAMs .............. . 2.8-2
Megabit density, 8 ns at 3.3 V 1 Megabit density, as well as Asynchronous 6 to 15 ns 5 V Fast Static RAMs .. 2.8-3
synchronous FSRAMs with access times as fast as 6 ns and Asynchronous 12 to 35 ns 5 V Fast Static RAMs. 2.8-3
8.5 ns. Fast Static RAM Modules .................... . 2.8-4
Motorola's Fast Static RAM Division goal is simple: Dynamic RAMs ............................... . 2.8-5
speed. All of our SRAMs are designed to provide the highest Introduction ................................ . 2.8-5
performance, cost efficient solutions available. DRAM Modules ............................ . 2.8-5
The Dynamic Memory Products Division utilizes Dynamic RAMs (HCMOS) ................... . 2.8-6
alliances as a vehicle for global customer support in the
DRAM and memory module markets. The product portfolio
consists of high-density DRAMs, standard and custom
memory modules, and PCMCIA Flash cards.

Motorola Master Selection Guide 2.8-1 Memory Products


Fast Static RAMs
Introduction
Mgtorola is designing the fastest, most technologically Application specific memories are designed for
advanced fast SRAMs. From 0.8 11m to 0.5 11m with access high-performance microprocessors that require more
times as fast as 5 V 6 ns 256K, 6 ns 1M, 13ns 4M, and specialization from memory cache than is available from
8 ns 3.3 V 1M, these devices are progressively smaller, standard devices. Products include those for use with digital
faster, and lower cost. These SRAMs are designed to provide signal processors as well as a variety of popular
the highest performance, cost efficient solutions available. microprocessors.
Selected fast SRAMs are also available on 2M and 8M
memory modules.

SYNCHRONOUS
APPLICATION SPECIFIC FAST STATIC RAMs (5 to 35 ns)

3.3 V Supply
Organi- Motorola Pin Access Time Tech- Pro-
Description zatlon Part Number Count Packaging (ns Max) nology duction Comments
BurstRAMsTM 32Kx32 MCM63P532 100 (TO) TOFP 7/8/9 HCMOS 1096 Pipelined BurstRAM for PowerPCTM /Pentiumnt MPUs.
32Kx36 MCM69F536A 100 (TO) TOFP 8.519110112 BiCMOS Now Flow-through BurstRAM for PowerPC/Pentium MPUs.
MCM69P538A 100 (TO) TOFP 5/617 BiCMOS Now Pipelined BurstRAM for PowerPc/Pentium MPUs.
64Kx18 MCM69F618A 100 (TO) TOFP 8.519/10/12 BiCMOS Now Row-through BurstRAM tor PowerPClPentium MPUs.
MCM69P618A 100 (TO) TOFP 5/617 BiCMOS Now Pipelined BurstRAM for PowerPC/Pentium MPUs.
Tag RAM 64Kx18 MCM69T618 119 (ZP) PBGA 5/617 BiCMOS 2096 100 MHz Cache Tag RAM.

SV Supply
Organi- Motorola Pin AccessTlme Tech- Pro-
Description zatio" Part Number Count Packaging (nsMax) nology duct'on Comments
Integrated 32Kx36 MPC2604GA 357 (ZP) PBGA 66 MHz BiCMOS 1096 Integrated L2 cache for PowerPC processors.Two components
Cache for 256KB solution. and four for 512KB.
Solutions
BurstRAMs 64Kx18 MCM67B618A 52 (FN) PLCC 9/10112 BiCMOS Now BurstRAM (flow-through) for 4861Pentium. 3.3 V output levels.
MCM67C618A 52 (FN) PLCC 517 BiCMOS Now BurstRAM (pipelined) for 4861Pentium. 3.3 V output levels.
MCM67H61BA 52 (FN) PLCC 9110112 BiCMOS Now Supports Pentium pipelined address mode.
MCM67J618A 52 (FN) PLCC 517 BiCMOS Now Supports Pentium pipelined address mode.
MCM67M61BA 52 (FN) PLCC 9/10112 BiCMOS Now BurstRAM (flow-through) for PowerPC. 3.3 V output levels.
32Kx18 MCM67B518 52 (FN) PLCC 9/10/12 BiCMOS Now BurstRAM (flow-through) for 486/Pentium. 3.3 V output levels.
Not recommended for new designs.
MCM67C518 52 (FN) PLCC 617/9 BiCMOS Now BurstRAM (pipelined) for 486/Pentium. 3.3 V output levels. Not
recommended for new designs.
MCM67H518 52 (FN) PLCC 9/10/12 BiCMOS Now Supports Pentium pipelined address mode. Not recommended
for new designs.
MCM67J518 52 (FN) PLCC 617/9 BiCMOS Now Supports Pentium pipelined address mode. Not recommended
for new designs.
MCM67M518 52 (FN) PLCC 9/11/14 BiCMOS Now BurstRAM (flow-through) for PowerPC. 3.3 V output levels.
Not recommended for new designs.
DSPRAMTM 8Kx24 MCM56824A 52 (FN) PLCC 20125/35 HCMOS Now Designed for DSP56001 applications. replaces 3 8Kx8's.
General 128Kx9 MCM670709 B6 (ZP) PBGA 516 BiCMOS Now General synchronous separate 1/0 with write pass through.
Synchronous 3.3 V output levels.
256Kx4 MCM670B04 38 400 (WJ) SOJ 5/6 BiCMOS Now Graphics; general RISC. Register to register. Revolutionary
pinout. 3.3 V output leve~. Write pass through. Separate I/O.
16Kx16 MCM62990A 52 (FN) PLCC 12/15120125 HCMOS Now Designed for advanced RISC-CSIC cache applications
MPC27T416 80 (TO) TOFP 9/10112 BiCMOS 2096 14 tag bits. 2 status bits. Sampling 2096.
8Kx8 MCM62X3OB 28 300 (J) SOJ 15/17 HCMOS Now Une buffer for processing digital data.
4Kx12 MCM62973A 44 (FN) PLCC 18/20 HCMOS Now Pipelined SRAM with chip select.
MCM62974A 44 (FN) PLCC 18/20 HCMOS Now Pipe lined SRAM with output enable.
MCM62975A 44 (FN) PLCC 25130 HCMOS Now Output enable.

Memory Products 2.8-2 Motorola Master Selection Guide


ASYNCHRONOUS
6 to 15 ns FAST STATIC RAMS
3.3 V Supply
Organi- Motorola Pin Packaging Access Time Tech- Pro-
Density zatian Part Number Count Package width In mils (ns Max) nology duction Comments
1M 128Kx8 MCM6926 32 400 (WJ) SOJ 8110/12115 BiCMOS 1096 Revolutionary pinout.
256Kx4 MCM6929 32 400 (WJ)SOJ 8110/12115 BiCMOS 1096 Revolutionary pinout.

5VSupply
Organi- Motorola Pin Packaging Access Time Tech- Pro-
Density zalion Part Number Count Package width in mils (ns Max) nology duction Comments
1M 64Kx18 MCM67A618A 52 (FN) PLCC 10112115 SiCMOS Now General asynchronous, latched address and data.
128Kx8 MCM6726B 32 400 (WJ) SOJ 8110/12 BiCMOS Now Use for new quais and design. Revolutionary
pinout.
MCM6726C 32 400 (WJ) SOJ 6f7 BiCMOS Now Revolutionary pinout.
256Kx4 MCM6729B 32 400 (WJ)SOJ 8110/12 SiCMOS Now Use for new quais and design. With output enable.
Revolutionary pinout.
MCM6729C 32 400 (WJ) SOJ 6f7 BiCMOS Now Revolutionary pinout.
256K 32Kx8 MCM6706B 28 300 (J) SOJ 8110 BiCMOS Now Not recommended for new designs. Potential
substitute MCM6706BR.
MCM6706BR 32 300 (J) SOJ 6m8 BiCMOS Now Revolutionary pinout.

12 to 35 ns FAST STATIC RAMS


3.3 V Supply
Organi- Motorola Pin Packaging ACC8ssTlme Tool>- Pro-
Density zatlon Part Number Count Package width in mils (ns Max) nology duction Comments
1M 64Kx16 MCM6323 44 400 (J) SOJ 12115 HCMOS 2096 Revolutionary pinout. Samples 1096.
128KxB MCM6326 32 400 (J) SOJ 12115 HCMOS 3096 Revolutionary pinout. Samples 2096.
256K 32KxB MCM6306D 28 300 (J) SOJ 15120/25 HCMOS Now 3.3 V Fast SRAM

5V Supply
Organi- Motorola Pin Packaging Access Time Tech- Pro-
Density zatlon Part Number Count Package width in mils (ns Max) nology duction Comments
4M 512KxB MCM6246 36 400 (WJ) SOJ 20/25135 HCMOS Now Output enable. Revolutionary pinout.
lMx4 MCM6249 32 400 (WJ) SOJ 20/25135 HCMOS Now Output enable. Revolutionary pinout.
1M 64Kx16 MCM6223 44 400 (J) SOJ 12/15 HCMOS 2096 Revolutionary pinout. Samples 1096. 3.3 V lias.
128Kx8 MCM62268 32 400 (WJ) SOJ 15117/20/25 HCMOS Now Not for new designs. Suggest MCM6226BB.
MCM62268A 32 400 (WJ) SOJ 17120125 HCMOS Now Not for new designs. Suggest MCM6226BB.
MCM6226BB 32 300 (J), 400 (WJ) SOJ 15117120/25 HCMOS 1096 Samples 4095.
MCM6326 32 400 (J) SOJ 12/15 HCMOS 3096 Revolutionary pinout. Samples 2096. 3.3 V lIas.
256Kx4 MCM62298 28 400 (WJ) SOJ 15117/20/25 HCMOS Now Not for new designs. Suggest MCM6229BB.
MCM62298A 28 400 (WJ) SOJ 17120/25 HCMOS Now Not for new designs. Suggest MCM6229BB.
MCM6229BB 28 300 (J), 400 (WJ) SOJ 15/17120125 HCMOS 1096 Samples 4095.
lMxl MCM62278 28 300 (J), 400 (WJ) SOJ 15117/20/25 HCMOS Now Separate 110. Replaces 6227A
256K 16Kx16 MCM62996 52 (FN) PLCC 12115120125 HCMOS Now Choice of 5 V or 3.3 V power supplies for output
buffers. For wide bus applications.
MCM62995A 52 (FN) PLCC 12115120125 HCMOS Now DSP96000 and RISC applications. Latched address
inputs.
32Kx8 MCM6206BA 28 300 (J) SOJ 12115/20/25 HCMOS Now Replaces MCM6206D.
32Kx9 MCM620S0 32 300 (J) SOJ 15120/25 HCMOS Now

Motorola Master Selection Guide 2.8-3 Memory Products


j
DEVICEIPART NUMBER DESIGNATORS
ASYNCHRONOUS DEVICE SYNCHRONOUS DEVICE

~~~~~enl
MC=Oualified
SC= Special
Memory
62=5VCMOS
63 = 3.3 V CMOS
67 = 5 V BiCMOS
!fC]7 IB ~t

Die
Speed (ns)
Pacl\age" (WJ = Wide SOJ,
J = SOJ, FN = PLCC,
TB = TAB)

Revi::~~lutiOnary Pinout*
Blank =First qualified Motorola device
MotorolaCompone~ntM
(Qualified)
Memory
62=5V CMOS
63 = 3.3 V CMOS
67 = 5 V BiCMOS
69 = 3.3 V BiGMOS
PL¥-~ .
Pacl\age (WJ = WIde SOJ,
FN = PLCC, J = SOJ,
ZP = PBGA, TO = TOFP)
Ole Revision

Width:
04=x4
69 = 3.3 V BiCMOS A = First die size change/spec change A = Async w/Address and 08=x8
B =Second die size change/spec change
Density: Data Latch 09=x9
SA = First qualified foundry device
o=256K B = x86 Burst COunt 16=x 16
2=IM Width: C x86 Burst Count and 18=x18
4=4M 5=x9 Output Register 24=x24
6= 16K 6""x8 o Dual 110 32=x32
8=64K 7=x1 F Flow-Through BurstRAM 36=x36
8=x4 H x86 Burst Count with Address
9=x4withOE Disable ' - - - - - Deplh:
x86 Burst Count with Address Disable 3 = 8K Address Depth
NOTE: There are some exceptions to these device numbering schemes, i.e., and Output Register 4 = 16K Address Depth
M MolOroIa (PowerPC) Bursl Count 5 = 32K Address Depth
MCM62990A is a CMOS 16Kx 16 and NOT a 512Kx 90 device. MPC designates
P Pipellned BurstRAM 6 = 64K Address Depth
devices designed to work with PowerPC microprocessors and support chips.
o Sep.1I0 7 = 128K Address Deplh
T Cache Tag 8 = 256K Address Depth
" These deSignators apply to current products - future products will not necessarily
X Line Buffer
follow this scheme.

FAST STATIC RAM MODULES (Contact Fast Static RAM Marketing for Custom Fast SRAM Modules)
PowerPC Processor Applications
Access Time Pro- Motorola
Description Chip Sot Functionality Cache Size (Max) ductlon Packaging Part Number
PowerPOM Cache Motorola MPC105, Flow-Through Burst 512KB Cache 66 MHz 1096 136 Pin DIMM (SG) MPC21 03
Modules Motorola MPC106 Asynchronous 256KB Cache 1096 MPC2101
15 ns
PowerPC Cache Motorola MPC105, Flow-Through Burst 256KB Cache 66 MHz TBD 182 Pin Card Edge (SG) MPC2104
Modules with 16K x 15 Motorola MPC106 Flow-Through Burst 512KB Cache 66 MHz 1096 MPC2105
CacheTag
Flow-Through Burst 1MB 66 MHz 1096 MPC2106
Asynchronous 256KB Cache 15ns TBD MPC2107

Pentium and other x86 Processor Applications


Accessl1me Pro- Motorola
Description ChipSet Functionality Cache Size (Max) duction Packaging Part Number
Pentium™ L2 Cache Inlel 62430 FX Piped Burst 512KB Cache 66 MHz Now 160 Pin Card Edge (SG) MCM72JG64
Modules Triton chip set 256KB Cache 66 MHz Now MCM64PA32
Asynchronous 256KB Cache 15 ns Now 160 Pin Card Edge (SG) MCM64AF32
Intel 82430 PCI Flow-Through Burst 512KB Cache 60/66 MHz Now 136 Pin DIMM Fonn Factor. (SG) MCM72BA64
chip set 256KBCache 60/66 MHz Now MCM72BA32
Flow-Through Burst 512KB Cache 60166 MHz Now 160 Pin Card Edge (SG) MCM72BF64
VLSI82C590 Asynchronous 256KB Cache 15 ns Now 160 Pin Card Edge (SG) MCM64AG32
Corollary, Ross Piped Burst 512KB Cache 66 MHz Now 160 Pin Card Edge (SG) MCM72CB64
Computer

RISC Processor Applications


Access Time Motorola
Description Cache Size (Max) Production Packaging Comments Part Number
R4000 4MB 12/15/17 ns Now 80 Pin SIMM (SG) 4MB cache using 4 modules. all Tag options MCM44256 Series
Secondary Cache available.
Modules
1MB 12/15/17ns Now 60 Pin SIMM (SG) 1MB cache using 4 modules, all Tag options MCM4464 Series
availabte.

Networking and Buffer Applications


Accessl1me Motorola
Description Organization (Max) Production Packaging Comments Part Number
Slandard FSRAM lMx32 20/25 ns Now 72 Pin SIMM (SG) Uses eight 4M SRAMs MCM321 024
Modules 512Kx32 72 Pin SIMM (SG) Uses four 4M SRAMs MCM32515
20125 ns Now

Memory Products 2.8-4 Motorola Master Selection Guide


Dynamic RAMs
Introduction
DRAMs offer the lowest cost per bit of any memory. All devices are fabricated using HCMOS technology and
Because of this, they are popular for a wide range of operate in a 5-volt power supply. However, specific DRAM
applications, particularly in the computing environment. products are designed for use in either a 3.3 Volt or 5-Volt
Motorola's Dynamic Memory Products include DRAM power supply.
components, memory modules, and PCMCIA Flash cards. The 68-pin Flash ATA card is fully PCMCIA compatible. It
The 4 and 16 MByte DRAM components are offered in is available in capacities from 1.8 MBytes to 40 MBytes and
various organizations and surface mount packaging. capacities can be doubled using data compression
Motorola's DRAM Memory Modules include densities up to software.
64 MByte in both standard and custom configurations.

DRAM MODULES (Contact DRAM Marketing for Custom DRAM Modules)


Motorola Operating
Organi- Byte Part Pin Access Time Current Pro-
zation Density Number Count Packaging (ns Max) (rnA Max) duction Comments
1MxB 1MB MCM81430 30 (S) 60/70 240/200 Now 3D-pad SIMM package; 2-chip version
4Mx8 4MB MCM84000 30 (AS) 60/70 960/800 Now 30---pad SIMM package
MCM84430 30 (S) 50/60/70 260/220/190 Now 30-pad SIMM package; 2--chlp version
MCM84T430 30 (S) 50/60/70 260/220/190 Now 3D-pad SIMM package; 2--chip TSOP version
"~~' ·.•.• J.MfI.: •.• tMy~~~4~, .•• 1:::3~.:: I:~SI' 1':.0,.. IP \' ')1 1:\, ~:io~~ (I] Lrf 8O
:'" :~.~> ,,~~Jl'l7~IMN)ac~i\9'>
.ve\s",.~· •• " .• ,
~r. j>antxapi'l~a!iOn; 3~ip
'" ". ":'" '. ". .... '. '. . ' •
4Mx9 4MB MCM94000 30 (AS). (SC) 60/70 1080/900 Now 30 pad SIMM package for parity application
MCM94430 30 (S) 60/70 340/290 Now 30-pad SIMM package; 3-chip version
MCM94T430 30 (S) 60/70 340/290 Now 30-pad SIMM package; 3-chip TSOP version

I (AP). (AS,S) :!>;!. f",j ::~4>ifti,SIM"tj>acki'.9.,'fQ~1,&b,i~p~,"y ;;Pl?,I~'IO" '.


'1Mx,18 . . ,2MB
•. M<:;t..ff8;>ao .. •. I,.•::~;: •.:: .($).,1ii.<3),.' i I~il ~!;;~~: \, r::rr~:,:' <S:~::
'M9M1810.0
~~~2~~1>81<~',~ ·"··1M i:h. ' ,!",j>ad.J?tI<1.M P'\ck"~,fQ' le'.i''R?MYi'Pl?,)icatlq~
4Mx18 8MB MCM18400 72 (AS). (AS<3) 60/70 680/580 Now 72 pad SIMM package for 16 bit panty application
8Mx18 16MB MCM1880a 72 (AS), (ASS) 60/70 6921592 Now 72-pad SIMM package for 16 bit parity application
1Mx32 4MB MCM3210a 72 (OS) 60/70 960/800 Now Small outline DIMM package,S V TSOP
MCM32103 72 (OG) 80 480 Now Small outline DIMM package, 3.3 V - TSOP
MCM32Ll03 72 (OG) 80 480 Now Small outline DIMM package, 3.3 V Low power
TSOP
MCM32116 72 (S).(SS) 60/70 370/310 Now 72-pad SIMM package; Uses 1M x 16 SOJ DRAM
MCM32T116 72 (SH) 60170 370/310 Now 72-pad StMM package; Uses 1M x 16 TSOP DRAM
MGM32130 72 (SH). (SHG). (SSH) 60/70 960/800 Now 72-pad SIMM package; SOJ version
MCM32Tl00 72 (S).(SS) 60/70 960/800 Now 72-pad SIMM package; TSOP version
2Mx32 8MB MGM32216 72 (S). (SG) 60/70 374/314 Now 72-pad SIMM package; Uses 1M x 16 SOJ DRAM
MGM32T216 72 (SH) 60/70 374/314 Now 72-pad SIMM package; Uses 1M x 16 TSOP DRAM
MCM32230 72 (SH). (SHG) 60/70 976/816 Now 72-pad SIMM package; SOJ version
MCM32T200 72 (S).(SG) 60/70 976/816 Now 72-pad SIMM package; TSOP verSion
4Mx32 16MB MGM32400 72 (ASH). (ASHG) 50/60/70 1040/880/760 Now 72-pad SIMM package; SOJ version
... 4MX$.2" '.H3MB,.'. "MCr..j324'p .. . '~',?2,'\ ",1'·(5)',.19.<3)."'., r.q tll;~1Y!ln LJ i!384q73;'OO" •••• o>"+~t:Jay.t~,o .pqiJtJl"",slQ(!d.•mQ,dv.!I>VSi~g ~M,D~A!!A'.·'
4Mx32 16MB MGM32420 72 (AOG) 50/60/70 1040/880/760 Now MGM32400 small outline package, 5.0 V TSOP
MGM32423 72 (AOG) 60/70 880/760 Now MGM32400 small outline package, 3.3 V - TSOP
8Mx32 32MB MCM32800 72 (ASH). (ASHG) 50/60/70 1056/896/776 Now 72-pad SIMM package; SOJ version
MGM32TaoO 72 (ASH). (ASHG) 50/60flO 1056/896/776 Now 72-pad SIMM package; TSOP version

~X3~.: r<·,\~~" ~:~'4;l.~'~~'.~",' I,,'T,", > (Aq).·(ASG). f!;l~"l


,iASH$),' " f\.·;.:;':'lr~: ( tIl il:.f'f'2Q<," ":~~< 1"~;~~,,,a.S:M~~C::?~L. ~f:::!"t :-':: .'.'...:'
1Mx36 4MB MCM36104 72 (S). (SG) 60/70 1080/900 Now 72 pad SIMM package for EGG, and parity
application; SOJ version
....2~k~~,. ". '~Ml""" ··.MQM{l~OQ·· I';; i. ~\ tlIll!fO '.>l'l!bV(\, . ,l2c;i>"d'l!II4Mp"l''''l~•• o( 'P".lity'l>ppJicet}''''l. "'.
2Mx36 8MB MCM36204
, '72.. '"
72
"" ',A"'i{/(!OlGj.
(S). (SG) 60/70
Jii i ·11344(U.44." "
1098/918 Now 72 pad SIMM package for EGG pinout parity
application; SOJ version
4Mx36 16MB MCM36400 72 (AS). (ASG). (ASH). 60/70 1360/1160 Now 72-pad SIMM package for parity application; SOJ
(ASHG) version
MGM36404 72 (ASH). (ASHG) 50/60170 1170/990/855 Now EGG pinouts, for parity application; SOJ version
BMx36 32MB MCM36800 72 (AS). (ASG) 60/70 1384/1184 Now 72-pad SIMM package for parity application; SOJ
version
MGM36804 72 (ASH), (ASHG) 50/60/70 1188/1008/873 Now EGG pinouts, for parity application; SOJ version
1Mx40 4MB MCM40100 72 (AS). (ASG) 60/70 1200/1000 Now 72 pad SIMM package for EGG application; SOJ
for EDG version
2Mx40 8MB MGM40200 72 (AS). (ASG) 60/70 1220/1020 Now 72-pad SIMM package for EGC application; SOJ
for EDG version
4Mx40 16MB MCM40400 72 (SH). (SHG) 50/60/70 1300/1100/900 Now Replaces MGM40420; SOJ version
forEDC

' .. ':,:: ':" ;:,>:~~~~~~,,::: r:::;:;~:"::'" :~~,i~~~ tF:! t"i fit ,:.:!;:f!: ( tl i!:rr\)O~:< ,:.~~;::;. ':-::~\~"". "':""~.~pn,,G~~Q~<,"·
..'\.'
':>:>":'
8Mx40 MCM40800 72 (SH). (SHG) 50/60/70 1320/1120/970 Now 72-pad SIMM for EGG application; SOJ version
forEDG
lMx64 8MB MGM64100 168 (OG) 60/70 2050/1715 Now 16B-pad DIMM package; SOJ version
MCM64Tl00 168 (AOG) 60/70 828/700 Now 166-pad DIMM package; Using 16M DRAM

Motorola Master Selection Guide 2.8-5 Memory Products


Motorola Operating
Organi- Byte Part Pin Access Time Current Pro-
zation Density Number Count Packaging (ns Max) (mAMax) duction Comments
MCM64T116 168 lOG) 60/70 828/700 4095 16S-pad DIMM package; Uses 1M x 16 TSOP
ORAM
2Mx64 16MB MCM64T216 168 lOG) 60/70 TBO 4095 16S-pad DIMM package; Uses 1M x 16 TSOP
ORAM
4Mx64 32M MCM64400 168 lOG) 60/70 2050/1715 1H96 16S-pad DIMM package

NOTE: Package suffixes are enclosed by ( ) in packaging column


AD/ADG = DIMM/Gold Pad DIMM (Board Rev.) ASHG = Low Profile Gold Pad SIMM SG = Gold Pad SIMM
AS =SIMM (Board Revision) D/DG =Duallnline Module/Duallnline Gold Pad Module SH = Short Height SIMM
ASG = Gold Pad SIMM (Board Revision) S = SIMM SSH = Super Short Height SIMM
ASH = Low Profile SIMM SC = Industrial Temperature SIMM SHG = Short Height Gold Pad SIMM

DYNAMIC RAMs (HCMOS) (Contact DRAM Marketing)

MCM4L4100C 20/26 300 SOIN) 60/70 110/100 1096 Low power


MCM54100A 20/26 300 SOIN), 300 IT)SOP 60/70 120/100 Now Fast page mode cycle time =45/45 ns
MCM5L4100A 20/26 300 SOIN), 300 IT)SOP 60/70 120/100 Now Low power
MCM54100A-C 20/26 300 SOJIN), 300 IT)SOP 70/80 100/85 Now 3.3 V Fast page mode cycle time =45/50 ns
MCM54100A-V 20/26 300 SOJIN), 300 IT)SOP 70/80 70/60 Now 3.3 V Fast page mode cycle time =45/50 ns
MCM5L4100A-V 20/26 300 SOJIN), 300 IT)SOP 70/80 70/60 Now Low power, 3.3 V
1Mx4 MCM44400B 20/26 300 SOIN) 60/70 110/100 Now Fast page mode cycle time = 40/45 ns
MCM4L4400B 20/26 300 SOIN) 60/70 110/100 Now Low power
MCM54400A 20/26 300 SOJIN), 300 IT)SOP 60/70 120/100 Now Fast page mode cycle time =45/45 ns
MCM5L4400A 20/26 300 SOJIN), 300 IT)SOP 60/70 120/100 Now Low power
MCM54400A-C 20/26 300 SOIN) 70/80 100/85 Now Industrial temp range (- 40 to + 85°C)
MCM5L4400A-C 20/26 300 SOJIN), 300 IT)SOP 70 100 Now Low power, industrial temp range (--40 to + 85°C)
MCM54400A-V 20/26 300 SOJIN), 300 IT)SOP 70/80 70/60 Now 3.3 V Fast page mode cycle time = 45/50 ns
MCM5L4400A-V 20/26 300 SOJIN), 300 IT)SOP 70/80 70/60 Now Low power, 3.3 V

MCM518160B 44/50 60/70 180/150 2096 1K refresh, FPM, 10 row, 10 column


MCM518165B 44/50 60/70 180/150 2096 1K refresh, EDO, 10 row, 10 column
MCM518165BV 42 70/80 145/120 3096 3.3 V version of MCM518165B
MCM518165BV 44/50 4001T)SOP 70/80 145/120 3096 3.3 V version of MCM518165B

Memory Products 2,8-6 Motorola Master Selection Guide


Logic: Standard, Special
and Programmable

In Brief ...
This selector guide is a quick reference to Motorola's vast Page
Motorola Logic Families: Which Is Besllor You? .... 3.1-1
offering of standard logic integrated circuits. In TTL, popular
Motorola Programmable Arrays (MPA) ............ 3.1-5
due to its ease of use, low cost, medium-to-high speed
Selection by Function
operation and good output drive capability, Motorola offers
Logic Functions ............................ 3.1-13
both LS and FAST. Motorola's CMOS portfolio includes
Device Index .................................. 3.1-40
MC14000B standard CMOS series devices, High-Speed
Ordering Information ........................... 3.1-49
CMOS consisting of a full line of products that are pinout-
Case Outlines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3.1-53
compatible with many LSTTL and MC14000B standard
Packaging Information ......................... 3.1-86
CMOS logic devices which offers designers a solution to the
Surface Mount ............................. 3.1-86
long-standing combined barrier - high speed and low
Pin Conversion Tables. . . . . . . . . . . . . . . . . . . . . .. 3.1-86
power. Motorola's Emitter Coupled Logic (MECL) is a
Tape and Reel. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 3.1-87
non-saturated form of digital logic which eliminates
Logic Literature Listing ......................... 3.1-88
transistor storage time permitting very high speed operation.
Motorola offers five versions of MECL: MECL 10K, MECL
1OH, MECL III, and the recently introduced families ECLinPS
(ECL in picoseconds) and ECLinPS Lite. Also included are
timing solution products such as clock drivers, clock
generators and programmable delay chips, high
performance and communications products such as VCO's,
prescalers, and synthesizers, and a wide variety of
translators, low-voltage bus interface and serial data
transmission devices. Field programmable logic and in
particular, field programmable arrays, have become the
solution of choice for logic design implementation in
applications where time to market is a critical product
development factor. In addition, reconfigurable arrays have
been used to enhance Customer product flexibility in ways
that no other technology can match.
The Logic I.C. Division publishes a New Product Calendar
quarterly that reflects any recent device releases and the
approximate dates new devices are expected to be released.
This New Product Calendar, BR13321D, can be ordered from
your nearest Motorola Sales Office or from the Motorola
Literature Distribution Center.

Motorola Master Selection Guide 3.0-1 Logic: Standard, Special and Programmable
Logic: Standard. Special and Programmable 3.0-2 Motorola Master Selection Guide
Motorola Logic Families, Which Is Best for You?
By Gary Tharalson, Motorola, Chandler, AZ

Introduction By selecting the family whose parameters most closely fit


your needs, you can save many future headaches. Obviously,
When a logic designer is faced with developing a new before selecting a specific device, a detailed review of the
product requiring performance significantly different from the vendor's data sheet specifications is recommended.
past, it might be well to examine various logic family
alternatives. Selecting a logic family for a new design from Family Comparison
today's rapidly changing semiconductor technologies can be Table 24. compares some typical characteristics of
a perilous task. With the many choices available, it is easy to several popular logic families available in the market today.
under-kill or over-kill an application with inadequate or The following sections provide brief explanations of the
excessive capabilities. various parameters.

Table 24. Logic Family Comparison

Typical Commercial Logic Families


Parameters TTUABT CMOS ECL
(0° to +70°C) LS ALS ABT FAST MG HC FACT LVC LCX 10KH lOOK ECLinPS3 E-Lite
Speed
OR Gate Prop Delay (tpLH) ns 9 7 2.7 3 25 8 5 3.3 3.5 1 0.75 0.33 0.22
D Flip-Flop Toggle Rate MHz 33 45 200 125 4 45 160 200 200 330 400 1000 2800
Output Edge Rate ns 6 3 3 2 100 4 2 3.7 3.6 1 0.70 0.50 0.25
Power Consumption (Per Gate)
Quiescent mW 5 1.2 0.005 12.5 0.0006 0.003 0.003 0.0001 lE-04 25 50 25 73
Operating (1 MHz) mW 5 1.2 1.0 12.5 0.04 0.6 0.8 0.6 0.3 25 50 25 73
Supply Voltage V +4.5 +4.5 +4.5 +4.5 +3 +2 +2 +1.2 +2 --4.5 --4.2 --4.2 to --4.5
to 5.5 to 5.5 to 5.5 to 5.5 to 18 t06 t06 t03.6 to 3.6 to-5.5 to --4.8 -5.5 to-5.5
Output Drive mA 500 500 500 50Q
8 8 32164 20 1 4 24 24 24
load load load load
5VToierant
Inputs N/A N/A N/A N/A NlA NlA N/A YES YES N/A N/A NlA N/A
Outputs N/A N/A N/A NlA NlA N/A N/A NO YES N/A N/A N/A N/A
DC Noise Margin1
High Input % 22 22 22 22 30 30 30 30 30 28 41 28141 33
low Input % 10 10 10 10 30 30 30 30 30 31 31 31/31 33
Packaging4
DIP YES YES YES YES YES YES YES NO NO YES YES NO NO
SO YES YES YES YES YES YES YES YES YES NO NO NO YES
lCC NO YES NO YES NO NO YES NO NO YES NO YES NO
SSOP NO YES YES YES NO YES YES YES YES NO NO NO NO
TSSOP NO NO NO NO YES YES YES YES YES NO NO NO NO
Functional Device Types 190 210 50 110 125 103 80 35 272 64 44 48 40

Relative 1-25 Price/Gate 0.9 1 1.6 1 0.9 0.9 1.4 1.8 1.8 2 10 25 32

NOTES:
1. Typical noise margin expressed as a percentage of typical output voltage 3. ECLinPS is Available in both 10KH and lOOK compatible versions.
swing. 4. A "YES" may not include all devices within a family.
2. Announced plans for Motorola offering.

VENDORS REFERENCED (DATA BOOK):


lS Motorola low power Schottky TTL (DL121) FACT Motorola Advanced CMOS (DlI38)
AlS Texas Instruments Advanced low power Schottky TTL lCX Motorola low-Voltage CMOS (BRI339)
(SDAD001B) lVC Philips low-Voltage CMOS (IC24)
ABT Philips Semiconductor (IC23) 10KH Motorola 10KH Series ECl (DlI22)
FAST Motorola Advanced Schottky TTL (DL121) lOOK National 1OOK Series ECl (FlOOK)
MG Motorola 14000 Series Metal Gate CMOS (DlI31) ECLinPS Motorola Advanced ECl (DlI40)
HC Motorola High-Speed Silicon Gate CMOS (DlI29) E-Lite Motorola (ECLinPS Lite) Advanced ECl (DlI4O)

ECLinPS and ECLinPS Lite are trademarks of Motorola, Inc.


FAST and FACT are trademarks of National Semiconductor Corp.

Motorola Master Selection Guide 3.1-1 logic: Standard, Special and Programmable
Logic Families CMOS
Although there are many family technologies available, Complementary Metal-Oxide Semiconductor (CMOS)
they can be divided into roughly three broad categories: field--effect transistors differ from bipolar both in structure and
Transistor-Transistor Logic (TTL), Complementary Metal- operation. The primary advantages of CMOS are its low power
Oxide Semiconductor logic (CMOS), and Emitter-Coupled dissipation and small physical geometry. Advances in design
Logic (ECL). TTL and ECL are bipolar technologies differing and fabrication have brought CMOS devices into the same
in implementation techniques, while CMOS (an MOS speed and output drive capability as TTL. Again,
technology) differs in fundamental transistor structure and enhancements have resulted in the evolvement of additional
operation. classifications: MG (Metal-Gate CMOS), HC (High-speed
silicon-gate CMOS), and FACl'M (Advanced CMOS).
TTL
The most recent evolution in CMOS logic has been in
The designation "bipolar" essentially refers to the basic reducing supply voltage without sacrificing performance. The
component utilized to build this family of integrated circuits, the new LCX family is one outgrowth of this trend. This family
bipolar transistor. By employing a bipolar transistor in a logic results from the joint efforts of a triumvirate of companies
function's output driver as well as the input buffer, it results in including Motorola, National, and Toshiba. Although each
a Transistor-to-Transistor (TTL) direct connection. Older company has done its own design and fabrication, they have
technologies were interconnected via passive components mutually agreed to provide identical performance
such as resistors or diodes. specifications. In addition to the 3V operating voltage, LCX
Since the original TTL design, several enhancements have inputs and outputs are tolerant of interfacing with 5V devices.
been employed to reduce power and increase speed.
Common to these has been the use of Schottky diodes which, TYPICAL OF ALL OUTPUTS
ironically, no longer result in strictly TTL connections. VCC
Consequently, the two names, Schottky and TTL, are used in TTL
combination: LS (Low power Schottky), ALS (Advanced Low
power Schottky), and FAST'M (Advanced Schottky) TTL.
The superior characteristics of TTL compared to CMOS, in EQUIVALENT OF EACH INPUT
the past, have been its relatively high speed and high output VCC
drive; these advantages are rapidly diminishing as described OUTPUT
in the next section. One family of devices, ABT (Advanced
INPUT --.-~I-+-...
BiCMOS Technology), utilizes TTL circuitry at the inputs and
outputs, and CMOS technology in between-attempting to
combine the advantages of both bipolar and CMOS.

INPUTS

CMOS

VSS

Logic: Standard, Special and Programmable 3.1-2 Motorola Master Selection Guide
Eel
OUTPUT
---1----1--0 A. B

Ao-~-------+----~

INPUTS
Bo--+-t-{

VEEO-~--~--------~------4-----------~----~

ECl (edge) rate is highly load dependent, and again, data sheet
specifics must be compared.
Emitter-coupled logic (ECl) derives its name from the
differential-amplifier configuration in which one side of the Power Consumption
diff-amp consists of multiple-input bipolar transistors with The amount of power an application consumes (and the
their emitters tied together. An input bias on the opposite side subsequent heat generated) is frequently of prime
of the diff-amp causes the amplifier to operate continuously in importance. One of the major differences between the three
the active mode. Consequently, ECl consumes a relatively families, the power parameter may also limit the designer's
substantial amount of power in both states (one or zero) but choices.
also results in the fastest switching speeds of all logic families. TTL consumes a moderate amount of power and is nearly
An inherent benefit of ECl is the narrow switching level swing constant over operating frequencies up to about 10 MHz;
between devices (approximately 800 mY) which helps to above 10 MHz it begins to climb rapidly. Although only a few
reduce noise generation. milliwatts are consumed by each device, in a complete system
a substantial amount of power may be used.
There have also been many evolutionary advancements in
ECl, the following being some of the most prominent: 100K CMOS power consumption, on the other hand, is highly
(1975), 10KH (1981), and ECLinPSTM (1987). Of most recent frequency dependent. In quiescent mode (zero frequency), it
vintage is the ECLinPS Lite™ family of single function devices. consumes almost no power at all, being measured in
By focusing on simplicity, this family achieves very high microwatts/device. However, its consumption grows almost
performance, while at the same time reducing package size. linearly with frequency so that at maximum operating
frequency it may be several milliwatts/device. The great power
Speed reduction advantage of CMOS derives from the fact, that in
most applications, the percentage of the total number of
Speed is typically the first parameter at which a designer devices operating at high frequencies at any given time is
looks, and when design engineers are asked what features of small; consequently, the average total power consumed by
a logic family they would like enhanced, usually they want the system is greatly diminished.
more speed. But increased speed often brings along many Since power consumption is proportional to the square of
potential problems such as: increased noise generation, supply voltage, simply redUCing the operating voltage will
higher power consumption, increased component and system have desirable effects. Unfortunately, speed generally falls off
cost, more difficult board layout, etc. An assessment of the as well. By designing the lCX family specifically for a lower
other family parameters is usually required before a final supply voltage, it was possible to maintain high overall
selection is possible. performance. The lCX family is also designed to interface with
In Table 24. ,family speed is compared for three five volt devices, being tolerant of the differences in I/O levels.
parameters using typical values: propagation delay through a Because of its inherent deSign, ECl is the highest power
simple OR gate, flip-flop toggle frequency, and output consumer at frequencies below approximately 50 MHz;
switching time. Typical values can be misleading as they are however, at higher frequencies, TTL and CMOS power
frequently specified according to different vendor's criteria, but consumption can exceed ECL. The amount of power used by
they are usually close to an average of min and max values. ECl is fairly constant over its entire operating frequency
For final assessment of a particular component's range. DeSigners of large, high performance ECl systems
performance, the min/max spec's provided in most vendor's may have to employ somewhat more complex cooling and
data sheets should be examined. Furthermore, switching power distribution techniques.

Motorola Master Selection Guide 3.1-3 logic: Standard, Special and Programmable
Supply Voltage Packaging
The power supply voltage required for TTL and ECL is
The venerable Dual-Inline package (DIP) is rapidly being
restricted to fixed values; only a narrow voltage variation is
replaced by Small Outline (SO), Shrink Small Outline (SSOP),
allowed for the device to remain within specifications. Since
Thin Shrink Small Outline (TSSOP), and Leadless Chip
these families also consume substantial amounts of power,
Carrier (LCG) packages for surface mounting. Savings in
there is a large current flow through the power lines. To avoid
footprint area of up to 90% are possible with these newer
unacceptable voltage fluctuation, various preventive
packages.
measures may be necessary such as remote sensing by the
supply regulator, beefing up power buses and filters, and Device Types
utilizing multi-layer PC boards with separate power and
ground planes. Typically, a high-speed energy storage In general, the older the family the larger the quantity of
capacitor is required near each logic device; this capacitor differentfunctional devices available. This is only natural since
maintains the correct device voltage during high-current it takes time (and substantial resource investment) to design
switching. and reliably manufacture increaSingly more complex devices.
An important advantage of CMOS is the large range of The newer TTL and CMOS families will undoubtedly grow, but
supply voltage over which operation is specified. By allowing because of competition from higher integrated devices, will be
systems to be operated at voltages as low as 2V, not only is more limited in scope.
power consumption lowered, but noise generation from fast
signal switching is reduced. It must be noted, however, that Cost
operating speed drops off rapidly as the voltage is reduced. As
Here again, the age of a family has a substantial bearing on
mentioned previously, this was a significant reason for
its relative selling price. The older families have benefited
developing the LCX family.
longer from manufacturing learning and volume curve cost
Output Drive reductions. Newer technologies, because of their inherently
An important characteristic of a logic device is its ability to more complex process requirements, increased performance
drive relatively large loads without significant speed improvements, and higher cost of production, are priced
degradation. The older families within TTL, and especially higher but should decline over time.
CMOS, had only limited drive capability (below 10 mAl. All
advanced logic family versions have significantly increased Mix and Match
drive capacity, and several (FACT, LCX and all ECL) are
Many designers have found that the best approach to
capable of driving 50 ohm transmission lines directly.
achieving their particular application performance goal is to
Furthermore, because of the symmetrical sink/source
combine devices from several families. The obvious
capability of FACT and LCX, their rise and fall times are nearly
advantage of this is to optimize the requirements of selected
equal, resulting in balanced delay times.
portions of a design, whether it is for speed, power
5V Tolerant Input/Output consumption, output drive, cost, etc. Some disadvantages are
Because of the limited number of functions available in the that devices must be analyzed and tested for compatibility,
new low voltage CMOS families, a designer might might have inventories may increase, and some performance parameters
to mix 3V and 5V devices, each operating from 3V and 5V rails, may be compromised.
respectively. Unless the 3V device was specifically designed
with proper protection to tolerate 5V at its input or output, it may Conclusion
not survive.
The diversity of logic families available to today's logic
Noise Margin designer may be likened to a bad news/good news scenario.
Noise immunity refers to the resistance of a logic device to The bad news is that you have huge ratios between the
undesired switching. Depending on the input level, a noise highest and lowest performance values-speeds of 500:1,
glitch that causes a transient across the input switch pointfrom power at 100,000:1, output drive at 24:1, etc. The good news
either a high or low level can result in erroneous operation. is that you have lots of choices-it wasn't too many years ago
Clearly, the more voltage difference there is between the that there were very few. By examining and comparing each
switch point and the normal input high and low levels, the more family's parameters, an optimal selection can result.
immunity a logic family has to erroneous switching. In
Table 24. ,these differences are expressed as a percentage A few potential users of standard logic devices may worry,
of the swing between typical output high and low voltage logic that because of the trends towards higher-integration chips,
some vendors will abandon the older product lines. This may
levels. High input noise margin is calculated from the formula:
eventually happen; however, the current demand, prOjected
VOH - VIH for at least the next decade, indicates that these families have
HNM = , and for low input noise margin,
VOH - VOL a very solid future. The diverse applications that keep arising
for semiconductor products that are inexpensive and reliable
VIL - VOL continue to mount. Until some totally revolutionary
LNM =
VOH - VOL development should occur, these "oldies, but goodies" will be
around for a long time to come.

Logic: Standard, Special and Programmable 3.1-4 Motorola Master Selection Guide
INTRODUCTION TO
MOTOROLA PROGRAMMABLE ARRAYS

Field programmable logic and in particular, field To reduce design cycles, designers have also turned
programmable arrays, have become the solution of choice towards high level design languages and logic synthesis
for logic design implementation in applications where time to tools. Many programmable logic solutions are poorly suited
market is a critical product development factor. In addition, to this design methodology, however. An incompatibility
reconfigurable arrays have been used to enhance exists between logic synthesis algorithms originally
Customer product flexibility in ways that no other technology developed for gate level deSign and the block-like
can match. structures found on many programmable logic devices. This
can result in significant under utilization or degraded
Microprocessors have traditionally been used to satisfy
performance. In either case a more expensive device is
time to market and end product flexibility needs. This
required. Real gate level programmable devices are ideally
solution may not meet performance constraints and lacks
suited to this design methodology.
the concurrency possible in an unconstrained hardware
design. Typical design processes, therefore, reach a pOint When schematic based design methods are used, some
where the overall design is partitioned into hardware and programmable logic solutions impose significant constraints
software components. An interface is defined and the on design implementation to insure satisfactory results. This
design process continues along two parallel paths. imposition tends to bind the design to a particular
Sometime later, the software and hardware components programmable device and requires a significant learning
must be integrated. Problems usually develop at this point investment. Any design specification changes which impact
because of interface misinterpretation or partitioning that design decisions made to fit this imposed structure can
cannot meet design requirements. This impacts the have disastrous effects on utilization and performance and
hardware, the software and the schedule. If the hardware potentially require a more expensive device or even a costly
design is realized in programmable logic, the hardware can redesign. Gate level programmable devices coupled with
be manipulated as easily as the software. sophisticated, timing driven, implementation tools minimize
device specific optimization.
Products which adapt to the end users particular Any design process includes a significant amount of
requirements through self directed or end user directed learning. Usually engineers spend most of this time learning
reconfiguration are becoming more prevalent. As the about product requirements or prototyping critical portions of
number of modes of operation increases, mode specific the design to prove implementation feasibility. Many
hardware becomes a less cost effective solution. In the case programmable logic solutions are not push bullon; time
where the end user is truly directing the adaptation, must be spent learning programmable device architecture or
predetermined hardware solutions become untenable. implementation tool quirks. Worse yet, the design may
Reconfigurable logic enables design solutions where require modification or manual component placement to
dynamic hardware-software repartitioning is possible. meet design targets. The cost? Time to market.
Programmable logic not only vastly improves the time The reconfigurable Motorola Programmable Array (MPA)
necessary to implement a static design, but significant time and MPA design system maximize application flexibility and
to market and product feature benefits can be realized when minimize time to market by delivering a gate level, push
hardware can be dynamically altered as easily as software. bUllon, programmable logic solution.

MPA1016 MPA1036 MPA1064 MPAll00

621621
1EEIEE IEmIEmI
§!II§!I 11111
11111
Motorola Master Selection Guide 3.1-5 Logic: Standard, Special and Programmable
MPA1000
Programmable Arrays MPA1016
MPA1036
Motorola Programmable Array (MPA) products are a high density, high MPA1064
performance, low cost, solution for your reconfigurable logic needs. When
used with our automatic high performance design tools, MPA delivers MPA1100
custom logic solutions in minutes rather than weeks. And the low cost
keeps those solutions competitive throughout the product lifecycle.
The MPA architecture has solved the historical problems associated
with fine grain devices without sacrificing re-programmability, reliability, or
cost. MPA1000 devices are reprogrammable SRAM based products PROGRAMMABLE ARRAY
manufactured on a standard 0.511 Leff CMOS process with logic
capacities from 3,500 to more than 22,000 equivalent FPGA gates. MPA 3,500 to 22,000 GATES
Logic resources hold a single gate or storage element providing a highly
efficient, adaptable, design implementation medium. Gate level logic
resources, abundant hierarchical interconnection resources and
automatic, timing driven, tools work together to quickly provide design
implementations that meet timing constraints without sacrificing device • Multiple I/O from 80-200 I/O Pins
utilization. • Programmable 3V/5V I/O at Any Site
Staying focused on end product design rather than implementation • Multiple Packaging Options
tools or device architecture gets the design done faster and, unlike other • Fine Grain Structure Is Optimized for
programmable solutions, without programmable logic device specificity to Logic SyntheSis
impede future design migration efforts. The combination of automatic • Programmable Output Drive,
tools and gate level architecture is ideal for traditional schematic driven or 6/12mA @ 5.0V
high level language based design methodologies. In fact, logiC synthesis • High Register Count, with 560-2,900
tools were originally designed for and produce the most efficient results Flip-Flops
when targeting gate level devices. • IEEE 1149.1 JTAG Boundary Scan
High MPA1000 register count and controlled clock skew is ideal for • Eight Low-Skew «1ns) Clocks
designs employing pipelining techniques such as communications. The
unique set of MPA1000 I/O programming options make these devices
suitable for industrial and computer InterfaCing circuits.

MPA1000 Family Members


FPGA Logic Internal I/O Cell Signal I/O
Part No. Packages Availability
Gates Cells Flip-Flops Flip-Flops Pads Max.
3500 MPA1016FN 1600 400 160 80 84-Pin PLCC April 1996
MPA1016DD 128-Pin POFP April 1996

8000 MPA1036FN 3600 900 240 120 84-Pin PLCC NOW


MPA1036DD 128-Pin POFP April 1996
MPA1036DH 16o-Pin POFP NOW
MPA1036HI 181-Pin PGA NOW
14200 MPA1064DH 6400 1600 320 160 16o-Pin POFP April 1996
MPA1064DK 208-Pin POFP 2096
MPA1064KE 224-Pin PGA 1096
22000 MPA1100DK 10000 2500 400 200 208-Pin POFP 3096
MPAll00HV 299-PinPGA 3096

Logic: Standard, Special and Programmable 3.1-6 Motorola Master Selection Guide
MPA1000 Design System Product Description
Overview
The Motorola Programmable Array (MPA) design system is a bridge between a design capture environment and Motorola
field programmable arrays. The MPA design system automatically transforms designs into device configurations which, when
loaded into an MPA device, realize a design. A design is automatically analyzed, optimized, transformed into MPA cells,
partitioned, placed and routed based on timing constraints for every path in the design. MPA design tools understand and
optimally utilize the MPA device ·architecture; this eliminates the need to learn a new set of rules and makes these tools ideally
suited for use with logic synthesis. Full incremental design support reduces design implementation time and powerful library
retargeting capabilities allow you to reuse designs which may have been implemented on less capable devices. The MPA
design system operates on existing hardware platforms and supports design capture and simulation tools from more than 10
vendors. All these features plus on-line, hypermedia, help make the MPA design system a powerful yet extremely easy to use
design implementation engine.

Features
• Push Button Implementation • Layout Delay extraction for post layout simulation
• Optimal Use of MPA Device Resources • Layout viewer
• Optimal Results with Gate Level Design Input • Incremental design support
• Library of Common MSI Functions • On-line, hypermedia, documentation
• Design Flow Manager • Supports all popular design capture and simulation tools
• Design Retargeter • Lowest cost FPGA development systems.
• Timing Driven with Integrated Static Timing Analysis • Instant access; Downloading via the internet (WWW, tip).

Design Importation
• Read Appropriate Rules File
• Retarget to MPA Primitives
• Macro Expansion
• Design Optimization
• Design Rule Checks

Constraint Generation
• Read User Constraints
• Path Enumeration
• Path Constraint Generation

Timing Driven Autolayout


• Partition Design Into Clusters
• Assign Clusters to Zones
• Global Place & Route
• Zonal Place & Route
• Continuous Slack
Redistribution

1---++---1 Configuration
• Read Stored Layout
• Construct Bitstream

MPA
Device

Motorola Master Selection Guide 3.1-7 Logic: Standard, Special and Programmable
Push Button Design Implementation within the design to specify timing and design pinout
constraints.
The MPA design system minimizes training investment
A retargetting rules file is read and the input netlist is
and automatically generates design implementations which
transformed into a series of MPA cells and associated
meet timing constraints.
interconnections. Rules files provide a mechanism to
The gate level logic and abundant hierarchical routing perform attribute mapping, cell mapping and macro
resources of the MPA device present a rich implementation expansion. By creating custom rule files, the user can
media for design implementation. MPA design tools extend the importation process from arbitrary sources. The
understand and optimally utilize the MPA device resources MPA design system comes with rules for it's native
so there are no elaborate rules to learn or design library/EDIF. The resulting netlist is optimized to clip unused
modifications required to begin design capture. Staying logic and remove redundant logic. For example: each MPA
focused on end product design rather than implementation cell has programmable input inversion capability. All
tools or device architecture gets the design done faster and, Inverters or non-inverting buffers can be removed from the
unlike other programmable solutions, without programmable nellist and replaced with signal sense information attached
logic device specificity to impede future design migration to each input.
efforts. The combination of automatic tools and gate level A series of design rule checks are performed to insure
architecture is ideal for traditional schematic driven or high design integrity before the layout process begins.
level language based design capture methods. In fact, logic
synthesis tools were originally designed for and produce the Constraint Generation
most efficient results for targeting gate level devices. Timing constraints, the optimized MPA nellist and static
A design is analyzed, optimized, transformed into MPA timing analysis is used to generate path slack constraints for
cells, partitioned, placed and routed based on timing all paths in the design. Each unique signal pathway
constraints for all paths in the design - automatically. A between a register output and a register input throughout
netlist from one of the popular design capture systems or an the design are enumerated. The total logic and estimated or
existing XNF or LPM netlist is imported into the MPA design real wire delays along the path are summed. The time
system. The logic is mapped to a series of MPA cells and between the active upstream register clock edge and the
the entire resulting nellist is optimized and checked. Based next active downstream clock edge minus the downstream
on a Simple clock specification, the MPA design system register setup time is subtracted from the total path delay.
generates timing constraints for all paths in the design. This difference is called path slack. If any path in the design
During automatic partitioning, placement and routing path has a negative slack value, the implementation will not
slack time is constantly redistributed insuring only the function at the required clock rate(s).
resources required to meet timing requirements are Path constraints are utilized throughout the layout
consumed. Because MPA tools implement the design process to insure that a design implementation which meets
according to constraints, tool induced design iterations are timing constraints is automatically generated. If no clock or
virtually eliminated. Completed layouts can be transformed timing specifications are provided, the MPA design system
into device configurations, as well as annotated simulation uses the fastest possible clock based on very small net
netlists. A layout browser is also available. delay estimates to generate the path constraints. This
The MPA design system also includes complete on-line, usually results in the best possible implementation, but may
hypermedia, help covers the device, the design system and take longer than the time required to generate a satisfactory
the integration kits. Integration kits for Viewlogic, Exemplar, rather than best possible result.
VHDL (1076), Verilog (OVI) and OrCAD are included Contrast this to other programmable logic design tools
(contact your vendor for additional kits).AII these features which only provide manual net constraint annotation or net
add up to a powerful yet extremely easy to use design criticality assignment. In these cases significant effort is
implementation engine for the MPA product family. necessary to generate constraints and many costly
iterations are required to tune these constraints for a given
Design Importation design. If any changes are made to the deSign, another
costly round of iterations is required.
Designs can be captured using schematics, a high level
language, or a combination of these entry methods using Autolayout
commercially available design capture and logic synthesis The autolayout process makes use of the hierarchical
software and the appropriate interface kit. Alternatively, organization of the MPA device to minimize run time and
existing designs can be retargeted from other deliver implementations that meet timing requirements.
programmable logic devices to the MPA device using Designs which have diverse timing requirements are ideally
commercial logic synthesis tools or the powerful retargetting implemented because path slack estimates are refined
capabilities provided with MPA design system. throughout the autolayout process insuring only the
DeSign importation begins with a netlist and an optional resources required to meet timing requirements are
clock specification file. The clock specification file provides a consumed.
mechanism for the user or design capture tools to document The process begins by flattening the design and
system level timing requirements. In addition, a rich set of partitioning it into small component groups of approximately
attributes can be attached to specific components or nets the same size called clusters. A cluster boundary delay

Logic: Standard, Special and Programmable 3.1-8 Motorola Master Selection Guide
estimation is applied to pull the most tightly constrained transformed into an appropriately formatted delay
paths into a minimum number of clusters. The clusters are annotation file or annotated nellist quickly and easily. The
then assigned to zones talking into account zonal boundary annotated delay information represents the worst case
delay cost and relative zone placement delay costs. Other delays for a given device speed grade.
costs like total number of port connections per zone and are
also considered. As assignment proceeds, cluster and zone Chipview
boundary delay costs are added to each path and slack is While the MPA design system provides a rich set of
recomputed. reports describing the implementation of a deSign, a
Next global placement and routing is done. Global routes graphical view of the implementation can be indispensable
begin and end on either I/O cells or port cells. Intrazone for reviewing overall layout quality. Chipview provides a
placement and routing is deferred to a later phase. During graphical view of a completed layout. Chipview can be
global routing all the port cell and I/O cell locations are fixed useful during initial design iterations to visually verify I/O pin
and the connections between them established. High fanout placements before commencing PCB layout, for example.
nets are constructed in a highly regular manner to insure
efficient resource utilization. As in partitioning, slack Configuration
estimates are refined throughout global routing. A layout can be transformed into a device configuration
Finally the intrazonal placement and routing is done. Cells which, when loaded into the appropriate MPA device,
assigned to a particular zone are placed and routed to other produces a physical design realization. Many formatting
zone cells or zone port cells. Port cells and core cells are options are available. The MPA download pod can be used
constructed to allow port swapping. Core cells can be to emulate a serial PROM. Using the pod, device
routed through if necessary. Allowing core cells to act as configuration files can be downloaded to a device directly
routing cells allows dynamic adjustment of routing resources from the PC or workstation development environment.
within the zone. Dynamic resource adjustment is a powerful
design specific adaptation mechanism. Integration Kits

This process produces a layout from which device The MPA design system can be used with a large number
configurations, delay back annotations, and chipviews can of commercial electronic design automation software. Figure
be generated. X-X shows the currently supported vendors and tools. For
each supported vendor, an integration kit is provided which
Incremental Design Support facilitates MPA design within that vendors' environment.
Many of these kits are available from Motorola and included
When specification changes necessitate design at no charge on the MPA design system CD-ROM. Other
iterations, simply push the button again. Constraints are kits can be acquired directly from the vendor. Refer to the
automatically recalculated and auto layout only reworks MPA Design System Product List for more irformation.
those portions of the design which have changed. Full
incremental design support means simple design changes Low Cost, Easy Access
to facilitate design verification can be made quickly and
MPA Design systems are easy to use, competitively
easily.
priced and widely available. Copies of MPA deSign system
software supporting up to 8000 gates can be downloaded
Delay Back Annotation
from the World Wide Web (WWW) @
Designs can be verified through numerous methods. One hUp://Design-NET.com/fpga. Complete kits including
particularly useful method is the annotation of device and download pod, evaluation board, MPA device, CD-ROM
implementation specific delays back into the original and documentation can be ordered from your local
simulation environment to improve system or device level authorized Motorola distributor or Motorola sales
simulation accuracy. A MPA device layout can be representative (see appendix Z).

F~, ~~'~W~H~'NI~.
T~/4 HPAt

Motorola Master Selection Guide 3.1-9 Logic: Standard, Special and Programmable
c8
~.

W
::J
aT
P-
en
SOFTWARE FLOWS - WORKSTATION and PC
16o
§I
OJ PLDHDL VHDLNerilog-HDL Timing
::J
0-
"1J
PLD Synthesis Synthesis Schematics Simulation Analysis "Back End"
a
to
til
3
3
§.
iD

.!.
o

s::
~
a0;-
s::
ien
<D
iD
Sl

:::1
Gl
c:
a:
(l)
Design System Product List
MPA Design Kits and Options
Part Number Description
MPA1E1P Entry Level PC with 6 Months Maintenance
MPA1EJW Entry Level Workstation with 6 Months Maintenance

MPAIS/P Standard Level PC with 6 Months Maintenance


MPA1SIW Standard Level Workstation with 6 Months Maintenance

MPA1MI21P 12 Months Maintenance PC


MPA1Ml2IW 12 Months Maintenance Workstation

MPA1CD/P MPA Design System CDROM PC


MPA1CDIW MPA Design System CDROM Workstation (Requires License)

MPA1/POD Configuration Download POD


MPA1/BRD Evaluation Board with MPA Device

Schematic Capture and Simulation


Part Number Description
MPA1/SCH/P Schematic Capture PC
MPA1/SCHIW Schematic Capture Workstation, Node Locked
MPA1/SCHIWF Schematic Capture Workstation, Floating
MPA1/SSM/P Schematic Capture and 20K Simulation PC
MPA1/SSMIW Schematic Capture and 20K Simulation Workstation, Node Locked
MPA1/SSMIWF Schematic Capture and 20K Simulation Workstation, Floating
MPA1/SSu/P Schematic and Simulation UPGRADE" PC
MPA1/SSUIW Schematic and Simulation UPGRADE" Workstation Node Locked
MPA1/SSUIWF Schematic and Simulation UPGRADE" Workstation Floating
MPA1M12/SCH/P Schematic Maintenance, 12 Months, PC
MPA1MI21SCH/W Schematic Maintenance, 12 Months, Workstation
MPA1Ml21SSM/P Schematic & Simulation Maintenance, 12 Months, PC
MPA1M12/SSMIW Schematic & Simulation Maintenance, 12 Months, Workstation
" Upgrades existing vendor locked Viewlogic for MPA support.

MPA Design Kit Description MPA Design System Maintenance


• MPA Design System Software on CDROM • Support Line Access 1--800-521--8274
- Design Import and Retargeting • Upgrades
- Timing Driven Placement and Routing
- Layout Viewer MPA Design System Download POD
- Layout Delay Extraction (Annotation) • RS232 Connection to Host Computer
- Incremental Design • Emulates Serial PROM
- On-Line MPA Device and Design Kit Help • Loads MPA Device via Host Computer
• MPA Device Support
MPA Design System Evaluation Board
- Entry Level: MPA1016, MPA1036
- Standard Level: All MPA1000 Devices • MPADevice
• Evaluation Board with MPA Device (MPA1/BRD) • Simple PCB Facillitating MPA Evaluation
• Download POD (MPA1/POD)
Platform Requirements
• 6 Months Maintenance
• All Integration Kits' • PC Platform - 33MHz 486, 16Mb RAM, 32Mb Swap, 40MB Free
Disk Space, Serial Port, Windows 3.1 or Later, Windows/NT
*The MPA Design System CDROM contains integration kits for • Sun Platform Requirements: Sun SPARC Compatible, 32Mb
Viewlogic, Exemplar, Synopsys, VHDl (1076), Vertlog (OVI), and RAM, 40Mb Swap, 60Mb Free Disk Space, SunOS4.1.3, Solaris
OrCAD. For other integration kits, contact your EDA vendor. 2.3, Windows Manager: OSF/MOTIF 1.2 XII r5

Motorola Master Selection Guide 3.1-11 Logic: Standard, Special and Programmable
MPA17000 Serial EPROMs
MPA17128
The MPA17128, MPA 1765 serial OTP EPROMs provide a compact,
low pin count, non-volatile configuration store for MPA1000 devices.
MPA1765
MPA 17000 devices can be cascaded for increased memory capacity
when needed. They are available in the standard 8-pin plastic DIP (N
suffix), 8-pin SOIC (D suffix) and 2o-pin PLCC (FN suffix) packages.

• Configuration EPROM for MPA1000 Devices


• Voltage Range - 4.5 to 6.0V 128K, 64K SERIAL EPROM
• Maximum Read Current of 10mA
• Standby Current of 101lA, Typical
• Industry Standard Synchronous Serial Interface
• Full Static Operation
• 10MHz Maximum Clock Rate at 5.0V
• Programmable Polarity on Hardware Reset
• Programs With Industry Standard Programmers
• Electrostatic Discharge Protection> 2000 Volts
• 8-Pin PDIP and SOIC; 2o-Pin PLCC Packages
• Commercial (0 to +70°C) and Industrial (-40 to +85°C) PSUFFIX
PLASTIC PACKAGE
CASE 626-05

8-Lead Pinouts
(Top View)
8~
1

DSUFFIX
PLASTIC SOIC PACKAGE
CASE 751--05

2D-Lead Pinout
(Top View)
NC Vpp NC NC CEO
• 3

FNSUFFIX
4

PlCC PACKAGE
CASE 775--02

PIN NAMES
NC NC
Pins Function
VCC NC DATA Data 1/0
ClK - Clock
NC NC BESETIOE Reset Input and Output Enable
CE Chip Enable Input
DATA Vss ~ Ground
CEO Chip Enable Output
NC NC VPP Programming Voltage Supply
VCC +4.5 to 6.0V Power Supply
NC Not Connected
ClK NC RESETI NC CE
OE

logic: Standard, Special and Programmable 3.1-12 Motorola Master Selection Guide
Selection by Function

In order to better serve our customers, we have made some modifications to the Selection by Function portion of the Logic
Selector Guide. For easy selection of Logic's newer, more complex functions, as well as standard family functions, refer to the
subject index below. Within the Selection by Function tables on the next 23 pages, you will find functions sorted by these broad
subjects, and then broken down alphabetically into more precise functions.

Logic Functions

ARITHMETIC OPERATORS ................. 3.1-14 INDUSTRIAL CONTROL UNIT ............... 3.1-29


BOUNCE ELIMINATOR ..................... 3.1-14 INVERTERS ............................... 3.1-29
BUFFERS ................................. 3.1-14 INVERTER/BUFFERS,2-STATE ............. 3.1-29
BUFFERS, 3-8TATE ........................ 3.1-14 LATCHES ................................. 3.1-30
BUS INTERFACE ........................... 3.1-14 MEMORY SUPPORT ....................... 3.1-31
CBM ...................................... 3.1-17 MISCELLANEOUS ......................... 3.1-31
CLOCK DISTRIBUTION CHiPS .............. 3.1-17 MULTIPLEXER/DATA SELECTORS ........... 3.1-31
CLOCK DRiVERS .......................... 3.1-17 MULTIVIBRATORS ......................... 3.1-33
COAX CABLE DRiVERS .................... 3.1-18 OSCiLLATORS ............................. 3.1-34
COMPARATORS ........................... 3.1-18 OSCILLATORITIMERS ...................... 3.1-34
CONVERTERS ............................. 3.1-19
PARITY CHECKERS ........................ 3.1-34
COUNTERS ............................... 3.1-19
PHASE-LOCKED LOOP .................... 3.1-34
DECODER/DEMULTiPLEXERS .............. 3.1-21
PRESCALERS ............................. 3.1-34
DETECTORS .............................. 3.1-21
PROGRAMMABLE DELAY CHiPS ............ 3.1-35
DISPLAY DECODE DRiVERS ................ 3.1-22
PROMs ................................... 3.1-35
DiViDERS ................................. 3.1-22
RAMs ..................................... 3.F35
DRiVER ................................... 3.1-22
EDACs .................................... 3.1-22 RECEiVERS ............................... 3.1-35
ENCODERS ............................... 3.1-22 REGiSTERS ............................... 3.1-36
ENCODER/DECODERS ..................... 3.1-22 REGISTER FILES .......................... 3.1-36
EXPANDERS .............................. 3.1-22 SCHMITT TRIGGERS ....................... 3.1-36
FLiP-FLOPS ............................... 3.1-23 SCSI BUS TERMINATORS .................. 3.1-36
GATES, AND/NAND ........................ 3.1-25 SHIFT REGiSTERS ......................... 3.1-36
GATES, COMPLEX ......................... 3.1-27 SyNTHESiZERS ........................... 3.1-38
GATES, EXCLUSIVE OR/EXCLUSIVE NOR ... 3.1-27 TRANSCEiVERS ........................... 3.1-38
GATES, NOR .............................. 3.1-28 TRANSLATORS ............................ 3.1-38
GATES, OR ................................ 3.1-28 VCO ...................................... 3.1-39

Motorola Master Selection Guide 3.1-13 Logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
ARITHMETIC OPERATORS

4-Bit Arithmetic logic Unit TTL MC74F181 - 24 N DW


TTL MC74F381 - 20 N DW
TTL MC74F382 - 20 N DW
TTL SN54lS181 SN74lS181 24 N,J DW
4-Bit Arithmetic logic UniVFunction Generator ECl MC10H181 - 24 P,l, FN
PW,
lW
ECl MC10181 - 24 P,l
4-Bit Binary Full Adder With Fast Carry TTL MC74F283 - 16 N D
TTL SN54LS83A SN74lS83A 14 N,J D
TTL SN54lS283 SN74lS283 16 N,J D
4-Bit Full Adder CMOS MC14008B - 16 P,l D
9's Complementer CMOS MC14561B - 14 P D
BCD Rate Multiplier CMOS MC14527B - 16 P DW
Carry lookahead Generator TTL MC74F182 - 16 N D
Dual 2-Bit AdderlSubtractor ECl MC10H180 - 16 P,l FN
ECl MC10180 - 16 P,l
look Ahead Carry Block ECl MC10H179 - 16 P,l FN
NBCDAdder CMOS MC14560B - 16 P,l D
Triple Serial Adder (Negative logic) CMOS MC14038B - 16 l
BOUNCE ELIMINATOR

IHex Contact Bounce Eliminator ICMOS IMCl4490 16 I P,l I DW


BUFFERS

1:2 Differential Fanout Buffer ECl MC100lVElll - 8 D


2:8 Differential Fanout Buffer ECl MC100lVE310 MC100E310 28 FN
Dual 1:3 Fanout Buffer ECl MC100lVEL13 MC100EL13 20 DW
Expandable Buffer DTl MC832 - 14 P,l
low Voltage Dual 1:4, 1:5 Differential Fanout Buffer, ECUPECl ECl MC100lVE210 MC100E210 28 FN
Compatible
BUFFERS, 3-STATE

low-Voltage CMOS Octal Buffer, 3-State, Non-Inverting With 5V CMOS MC74lCX244 - 20 DW,M,
Tolerant Inputs and Outputs DT
low-Voltage CMOS Octal Buffer, 3-State, Inverting With 5V CMOS MC74lCX240 - 20 DW,M,
Tolerant Inputs and Outputs DT
low-Voltage CMOS Octal Buffer Flow Through Pinout, 3-State, CMOS MC74lCX541 - 20 DW,M,
Non-Inverting With 5V Tolerant Inputs and Outputs DT
low-Voltage CMOS Octal Buffer Flow Through Pinout, 3-State, CMOS MC74lCX540 - 20 DW,M,
Inverting With 5V Tolerant Inputs and Outputs DT
low-Voltage Quiet CMOS Octal Buffer CMOS MC74lVQ541 - 20 D,M,
SD,DT
low-Voltage Quiet CMOS Octal Buffer, 3-State, Non-Inverting CMOS MC74lVQ244 - 20 DW,M,
SD,DT
low-Voltage Quiet CMOS Octal Buffer, 3-State, Inverting CMOS MC74lVQ240 - 20 DW,M,
SD,DT
low-Voltage Quiet CMOS Quad Buffer, 3-State, Non-Inverting CMOS MC74LVQ125 - 14 D,M,
SD,DT
BUS INTERFACE

1Q-Bit Buffer/Line Driver (Inverting), With 3-State Outputs TTL MC74F828 - 24 N DW


lQ-Bit Buffer/Line Driver (Non-Inverting), With 3-State Outputs TTL MC74F827 - 24 N DW
3-Bit Registered Bus Transceiver, 25Q Cutoff Outputs ECl MC10E336 MC100E336 28 FN

logic: Standard, Special and Programmable 3.1-14 Motorola Master Selection Guide
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
BUS INTERFACE

3-Bit Scannable Registered Bus Transceiver ECl MC10E337 MC100E337 28 FN


32-Bit to 32116/8-Bit Dynamic READIWRITE Bus Sizer CMOS MC68150"33 - 68 FN
CMOS MC68150"40 - 68 FN
9-Bit Bus Interface, NINV, 3 State Outputs TTL MC74F823 - 24 N DW
Bus Driver ECl MC10128 - 16 l
Dual Bus Driver/Receiver With 4-to-1 Output Multiplexer (25Q) ECl MC10H332 - 20 P,l FN
Hex 3-State Non-Inverting Buffer With Separate 2-Bit and 4-Bit CMOS MC54HC367 MC74HC367 16 N,J
Sections
Hex Buffer 4i2-Bitllnverting With 3-State Outputs TTL SN54lS368A SN74lS368A 16 N,J D
Hex Buffer 4/2-BitlNon-lnverting With 3-State Outputs TTL SN54lS367A SN74lS367A 16 N,J D
Hex Buffer Driver, 4+2-Bit, Inverting, With 3-State Outputs TTL MC74F368 - 16 N D
Hex Buffer Gated Enable Inverting With 3-State Outputs TTL SN54lS366A SN74lS366A 16 N,J D
Hex Buffer Gated Enable Non-Inverting With 3-State Outputs TTL SN54lS365A SN74lS365A 16 N,J D
Hex Buffer/Driver Gated Enable Inverting, With 3-State Outputs TTL MC74F366 - 16 N D
Hex Buffer/Driver Gated Enable Non-Inverting, With 3-State TTL MC74F365 - 16 N D
Outputs
Hex Buffer/Driver, 4+2-Bit, Non-Inverting, With 3-8tate Outputs TTL MC74F367 - 16 N D
Hex With 3-State Outputs Buffer (Non-Inverting) CMOS MC14503B - 16 P,l D
Hex With 3-State Outputs Inverting Buffer With Common Enables CMOS MC54HC366 MC74HC366 16 N,J
Hex With 3-State Outputs Inverting Buffer With Separate 2-Bit and CMOS MC74HC368 - 16 N
4-Bit Sections
Hex With 3-State Outputs Non-Inverting Buffer With Separate CMOS MC54HC365 MC74HC365 16 N,J DT
2-Bitl4-Bit Sections
Octal 3-State Non-Inverting Bus Transceiver With lSTTl CMOS MC54HCT245A MC74HCT245A 20 N,J DW,
Compatible Inputs SD,DT
Octal Bidirectional Transceiver With 3-State Inputs/Outputs CMOS MC74AC245 - 20 N DW
CMOS MC74ACT245 - 20 N DW
Octal Bidirectional Transceiver With 3-State Outputs CMOS MC74AC620 - 20 N DW
CMOS MC74ACT620 - 20 N DW
CMOS MC74AC623 - 20 N DW
CMOS MC74ACT623 - 20 N DW
CMOS MC74AC640 - 20 N DW
CMOS MC74ACT640 - 20 N DW
CMOS MC74AC643 - 20 N DW
CMOS MC74ACT643 - 20 N DW
TTL MC74F245 - 20 N DW
Octal Bidirectional Transceiver With 8-Bit Parity Generator TTL MC74F657A - 24 N DW
Checker, With 3-State Outputs
TTL MC74F657B - 24 N DW
Octal Bidirectional Transceiver, With 3-State Inputs/Outputs TTL MC74F1245 - 20 N DW
Octal Buffer With 3-State Outputs (81lS95) TTL SN54lS795 SN74lS795 20 N,J DW
(81lS96) TTL SN54lS796 SN74lS796 20 N,J DW
(81lS97) TTL SN54lS797 SN74lS797 20 N,J DW
(81lS98) TTL SN54lS798 SN74lS798 20 N,J DW
Octal Buffer/Line Driver With 3-8tate Outputs TTL SN54lS244 SN74lS244 20 N,J DW
TTL MC74F240 - 20 N DW
TTL MC74F241 - 20 N DW
TTL MC74F244 - 20 N DW
TTL SN54lS240 SN74lS240 20 N,J DW
TTL SN54lS241 SN74lS241 20 N,J DW

Motorola Master Selection Guide 3.1-15 logic: Standard, Special and Programmable
Selection by Function
Description Tech. Device(s) Pins I DIP I SM
BUS INTERFACE

Octal BufferlLine Driver With 3-State Outputs TTL SN54LS540 SN74LS540 20 N,J DW
TTL SN54LS541 SN74LS541 20 N,J DW
CMOS MC74AC241 - 20 N DW
CMOS MC74AC244 - 20 N DW
CMOS MC74ACT244 - 20 N DW
CMOS MC74AC540 - 20 N DW
CMOS MC74ACT540 - 20 N DW
CMOS MC74AC541 - 20 N DW
CMOS MC74ACT541 - 20 N DW
CMOS MC74AC240 - 20 N DW
CMOS MC74ACT240 - 20 N DW
CMOS MC74ACT241 - 20 N DW
Octal Bus Transceiver TTL SN54LS245 SN74LS245 20 N,J DW
TTL SN54LS623 SN74LS623 20 N,J DW
Octal Bus Transceiver, With 3-State Outputs TTL MC74F623 - 20 N DW
Octal Bus Transceiverllnverting With 3-5tate Outputs TTL SN54LS640 SN74LS640 20 N,J DW
TTL MC74F620 - 20 N DW
TTL MC74F640 - 20 N DW
Octal Bus Transceiver/Non-Inverting With 3-State Outputs TTL SN54LS645 SN74LS645 20 N,J DW
Octal Bus Transceiver/Register With 3-State Outputs CMOS MC74AC652 - 24 N DW
Non-Inverting
CMOS MC74ACT652 - 24 N DW
Octal Registered Transceiver Inverting, With 3-State Outputs TTL MC74F544 - 24 N DW
Octal Registered Transceiver Non-Inverting, With 3-State Outputs TTL MC74F543 - 24 N DW
Octal Transceiver/Register With 3-State Outputs Non-Inverting CMOS MC74AC646 - 24 N DW
CMOS MC74ACT646 - 24 N DW
Octal Transceiver/Register With 3-5tate Outputs Inverting CMOS MC74AC648 - 24 N DW
CMOS MC74ACT648 - 24 N DW
Octal Transceiver/Register, With 3-5tate Outputs TTL MC74F646 - 24 N DW
Octal With 3-State Non-Inverting Buffer/Line Driver/Line Receiver CMOS MC54HC241A MC74HC241A 20 N,J DW
Octal With 3-State Non-Inverting Buffer/Line Driver/Line Receiver CMOS MC54HCT241A MC74HCT241A 20 N,J DW
With LSTTL Compatible Inputs
CMOS MC54HCT244A MC74HCT244A 20 N,J DW,
SD,DT
Octal With 3-State Outputs Inverting Buffer/Line Driver/Line CMOS MC54HC240A MC74HC240A 20 N,J DW,
Receiver DT
CMOS MC54HC540A MC74HC540A 20 N,J DW
Octal With 3-5tate Outputs Inverting Buffer/Line Driver/Line CMOS MC74HCT240A - 20 N DW,
Receiver With LSTTL Compatible Inputs SD,DT
Octal With 3-State Outputs Inverting Bus Transceiver CMOS MC54HC640A MC74HC640A 20 N,J DW
Octal With 3-State Outputs Non-Inverting Buffer/Line Driver/Line CMOS MC54HC541A MC74HC541A 20 N,J DW
Receiver
Octal With 3-State Outputs Non-Inverting Buffer/Line DriverlLine CMOS MC74HCT541A - 20 N DW
Receiver With LSTTL Compatible Inputs
Octal With 3-State Outputs Non-Inverting Buffer/Line DriverlLine CMOS MC54HC244A MC74HC244A 20 N,J DW,
Receiver SD,DT
Octal With 3-State Outputs Non-Inverting Bus Transceiver CMOS MC54HC245A MC74HC245A 20 N,J DW
Octal With 3-State Outputs Non-Inverting Bus Transceiver & D CMOS MC54HC646 MC74HC646 24 N,J DW
Flip-Flop
Quad Buffers With 3-State Outputs TTL SN54LS125A SN74LS125A 14 N,J D

Logic: Standard, Special and Programmable 3.1-16 Motorola Master Selection Guide
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
BUS INTERFACE

Quad 3--State Non-Inverting Buffers CMOS MC74HC125A - 14 N D


CMOS MC74HC126A - 14 N D
Quad Buffer With 3-State Outputs CMOS MC74AC125 - 14 N D
CMOS MC74ACT125 - 14 N D
CMOS MC74AC126 - 14 N D
CMOS MC74ACT126 - 14 N D
TIL MC74F125 - 14 N D
TIL MC74F126 - 14 N D
TIL SN54lS126A SN74LS126A 14 N,J D
Quad Bus Driver ECl MC10192 - 16 P,L FN
Quad Bus Driver/Receiver With 2-t0-1 Output Multiplexer (25f.l) ECL MC10H330 - 24 P,l FN
Quad Bus Driver/Receiver With Transmit & Receiver Latches ECl MC10H334 - 20 P,L FN
(25f.l)
Quad Bus Transceiver/Inverting With 3-State Outputs TIL SN54LS242 SN74LS242 14 N,J D
Quad Bus Transceiver/Non-Inverting With 3-State Outputs TIL SN54lS243 SN74LS243 14 N,J D
Quad Bus Transceivers With 3--State Outputs TIL MC74F242 - 14 N D
TIL MC74F243 - 14 N D
Quad With 3-8tate Outputs Inverting Bus Transceiver CMOS MC74HC242 - 14 N
Triple 3--lnput Bus Driver With Enable (25f.l) ECL MC10H423 - 16 P,l FN
Triple 4-3--3 Input Bus Driver (25f.l) ECl MC10H123 - 16 P,L FN
ECL MC10123 - 16 P,L FN
CBM

ICBM - Carrier Band Modem ISXlG I MC68194 52 *FJ


CLOCK DISTRIBUTION CHIPS

1:4 Clock Distribution Chip ECL MC10EL15 MC100EL15 16 D


1:5 Clock Distribution Chip ECL MC100LVEL14 MC100El14 20 DW
1:6 Differential Clock Distribution Chip ECL MC10E211 MC100E211 28 FN
low Voltage 1: 12 Clock Distribution Chip SXlG MPC948 - 32 FA
low Voltage 1:9 Clock Distribution Chip SXlG MPC947 - 32 FA
Low Voltage 1:9 ECUPECl Clock Distribution Chip ECL MC100LVE111 - 28 FN
CLOCK DRIVERS

1:2 Differential Clock Driver ECl MC10EL11 MC100El11 8 D


1:6 PCI Clock Generator/Fanout Buffer SXlG MPC903 - 16 D
SXlG MPC904 - 16 D
1:9 Differential Clock Driver With Low Skew, Enable, Vbb ECl MC10E111 MC100E111 28 FN
1 :9 Differential ECUPECl RAMBus Clock Buffer ECL MC10E411 - 28 FN
1 :9 TIUTTL Clock Distribution Chip ECl MC10H645 - 28 FN
50 MHz Low Skew CMOS Pll Clock Driver With liP Power Down CMOS MC88920 - 20 DW
66 MHz Low Skew CMOS PLl Clock Driver With liP CMOS MC88921 - 20 DW
Power-Down/Power-Up Feature
68030/040 PECUTTL Clock Driver ECl MC10H640 MC100H640 28 FN
ECl MC10H642 MC100H642 28 FN
ECL MC10H644 MC100H644 20 FN
Clock Driver Quad D-Type Flip-Flop w/ Matched Propagation TIL MC74F1803 - 14 N D
Delays
TIL MC74F803 - 14 N D
CMOS PLL Clock Driver Programmable Frequency, low Skew, CMOS MC88PL117 - 52 FN
High Fan-Out

Motorola Master Selection Guide 3.1-17 Logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s)
CLOCK DRIVERS

Dual Supply ECLfITL 1:8 Clock Driver ECL MC10H643 MC100H643 28 FN


High Frequency PLl Clock Generator MC12429 - 28 FN
MC12439 - 28 FN
low Skew CMOS Clock Driver CMOS MC88913 - 14 N D
low Skew CMOS Clock Driver With Reset CMOS MC88914 - 14 N D
low Skew CMOS PLl 68060 Clock Driver CMOS MC88LV926 - 20 DW
low Skew CMOS PLl Clock Driver CMOS MC88915*55 - 28 FN
CMOS MC88915*70 - 28 FN
Low Skew CMOS Pll Clock Driver With Processor Reset CMOS MC88916*70 - 20 DW
CMOS MC88916*80 - 20 DW
~kew CMOS Pll Clock Driver 160 MHz Version CMOS MC88915T*160 - 28 FN
133 MHz Version CMOS MC88915T'133 - 28 FN
100 MHz Version CMOS MC88915T'100 - 28 FN
70 MHz Version CMOS MC88915T*70 - 28 FN
55 MHz Version CMOS MC88915T*55 - 28 FN
low Voltage Pll Clock Driver SXGL MPC930 MPC931 32 FA
low Voltage PlL Clock Driver SXGl MPC950 MPC951 32 FA
low Voltage PLL Clock Driver SXGl MPC956 - 32 FA
'L:;;;Voitage PLl Clock Driver
f----.---
SXGL MPC970 - 32 FA
PECLfITL to TIL 1: 8 Clock Distribution Chip ECl MC10H646 MC100H646 28 FN
r----'
~. Supply PECLfITl 1:9 Clock Distribution Chip ECl MC10H641 MC100H641 28 FN
+2, +4/6 Clock Generation Chip (3.3V) ECL MC100LVEL38 MC100El38 20 DW
f--'---
+2/4, +4/6 Clock Generation Chip ECl MC100LVEl39 MC100EL39 20 DW
f---'--_ ..
.:.2,4,8 Differential Clock Driver ECl MC10El34 MC100EL34 16 D
COAX CABLE DRIVERS

Fibre Channel Coaxial Cable Driver and Loop Resiliency Circuit


300 MBitls LED Driver for FDDI and Fibre Channel
COMPARATORS

4-Bit Magnitude Comparator TIL MC74F85 - 16 N D


CMOS MC74HC85 - 16 N DT
TIL SN54lS85 SN74lS85 16 N,J D
CMOS MC14585B - 16 P,l D
5-Bit Magnitude Comparator ECl MC10H166 - 16 P,l FN
ECl MC10166 - 16 P,l FN
8-Bit Equality Comparator CMOS MC54HC688 MC74HC688 20 N,J DW
B-Bit Identity Comparator CMOS MC74ACT521 - 20 N
TIL MC74F521 - 20 N DW
B-Bit Magnitude Comparator TIL SN54lS682 SN74lS682 20 N,J DW
TIL SN54lS684 SN74lS684 20 N,J DW
TIL SN54lS688 SN74lS688 20 N,J DW
9-Bit Magnitude Comparator ECl MC10E166 MC100E166 28 FN
Dual Analog Comparator With Latch ECl MC10E1651 - 16,20 l FN
Dual Analog Comparator With Latch (Hi-Perf MC1651) ECl MC10E1652 - 16,20 l FN

logic: Standard, Special and Programmable 3.1-18 Motorola Master Selection Guide
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
CONVERTERS

4-Bit Parallel to Serial Converter ECl MC10E446 MC100E446 28 FN


4-Bit Serial to Parallel Converter ECl MC10E445 MC100E445 28 FN
Dual AID Converter ECl MC1650 - 16 l
ECl MC1651 - 16 l
COUNTERS

12-Bit Binary Counter CMOS MC14040B - 16 P,l D


12-Stage Binary Ripple Counter CMOS MC54HC4040A MC74HC4040A 16 N,J D,DT
CMOS MC74AC4040 - 16 N D
14-Bit Binary Counter CMOS MC14020B - 16 P,l D
14-Bit Binary Counter and Oscillator CMOS MC14060B - 16 P,l D
14-Stage Binary Ripple Counter CMOS MC74HC4020A - 16 N D,DT
CMOS MC74AC4020 - 16 N D
14-Stage Binary Ripple Counter With Oscillator CMOS MC54HC4060 MC74HC4060 16 N,J DT
CMOS MC54HC4060A MC74HC4060A 16 N,J D,DT
3-Digit BCD Counter CMOS MC14553B - 16 P DW
4-Bit BCD Decade Counter, Asynchronous Reset TTL SN54lS160A SN74lS160A 16 N,J D
TTL SN54lS162A SN74lS162A 16 N,J D
4-Bit Bidirectional Binary Counter, With 3-8tate Outputs TTL MC74F569 - 20 N DW
4-Bit Bidirectional Decade Counter, With 3-State Outputs TTL MC74F568 - 20 N DW
4-Bit Binary Counter TTL SN54lS93 SN74lS93 14 N,J D
TTL SN54lS293 SN74lS293 14 N,J D
ECl MC10H16 - 16 P,l FN
4-Bit Binary Counter, Synchronous Presettable CMOS MC14161B - 16 P D
CMOS MC14163B - 16 P D
4-Bit Binary Counter, Synchronous Reset TTL SN54lS161A SN74lS161A 16 N,J D
TTL SN54lS163A SN74lS163A 16 N,J D
4-Bit Up/Down Counter With 3-State Outputs TTL SN54lS569A SN74lS569A 20 N,J DW
4-Stage Presettable Ripple Counters TTL SN54lS196 SN74lS196 14 N,J D
TTL SN54lS197 SN74lS197 14 N,J D
4-Stage Synchronous Bidirectional Counter TTL MC74F168 - 16 N D
TTL MC74F169 - 16 N D
5 Cascaded BCD Counters CMOS MC14534B - 24 P,l DW
6-Bit Universal Counter, (lookahead Carry) ECl MC10E136 MC100E136 28 FN
7-Stage Ripple Counter CMOS MC14024B - 14 P,l D
8-Bit Bidirectional Binary Counter TTL MC74F269 - 24 N DW
8-Bit Bidirectional Binary Counter, With 3-State Outputs TTL MC74F579 - 20 N DW
TTL MC74F779 - 16 N D
8-Bit Ripple Counter ECl MC10E137 MC100E137 28 FN
8-Bit Synchronous Binary Up Counter ECl MC10E016 MC100E016 28 FN
BCD Decade Counter, Synchronous Presettable TTL MC74F160A - 16 N D
TTL MC74F162A - 16 N D
BCD Decade Synchronous Bidirectional Counter TTL SN54lS168 SN74lS168 16 N,J D
Bi-Quinary Counter ECl MC10138 - 16 P,l FN
Binary Counter ECl MC10154 - 16 P,l
ECl MC10178 - 16 P,l FN
Binary Counter, Synchronous Presettable, 4-Bit TTL MC74F161A - 16 N D
TTL MC74F163A - 16 N D

Motorola Master Selection Guide 3.1-19 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) I Pins I DIP I SM
COUNTERS

Counter Control logic ECl MC12014 - 16 P,l


Decade Counter TTL SN54lS90 SN74lS90 14 N,J D
TTL SN54lS290 SN74lS290 14 N,J D
CMOS MC14017B - 16 P,l D
CMOS MC74HC4017 - 16 N D
Divide By 12 Counter TTL SN54lS92 SN74lS92 14 N,J D
Dual 4-Stage Binary Counter TTL SN54lS393 SN74lS393 16 N,J D
Dual 4-Stage Binary Ripple Counter CMOS MC54HC393 MC74HC393 14 N,J D
Dual 4-5tage Binary Ripple Counter W +2, +5 Sections CMOS MC54HC390 MC74HC390 16 N,J D
Dual BCD Up Counter CMOS MC14518B - 16 P,l DW
Dual Binary Up Counter CMOS MC14520B - 16 P,l DW
Dual Decade Counter TTL SN54lS390 SN74lS390 16 N,J D
TTL SN54lS490 SN74lS490 16 N,J D
Industrial Time Base Generator CMOS MC14566B - 16 P D
Modulo 16 Binary Synchronous Bidirectional Counter TTL SN54lS169 SN74lS169 16 N,J D
Octal Counter CMOS MC14022B - 16 P,l D
Phase Comparator and Programmable Counter CMOS MC14568B - 16 P,l D
Presettable 4-Bit BCD Down Counter CMOS MC14522B - 16 P DW
Presettable 4-Bit Binary Down Counter CMOS MC14526B - 16 P,l DW
Presettable 4-Bit Binary Up/Down Counter TTL SN54lS191 SN74lS191 16 N,J D
TTL SN54lS193 SN74lS193 16 N,J D
Presettable BCD Up/Down Counter CMOS MC14510B - 16 P D
Presettable BCD/Decade Up/Down Counter TTL SN54lS190 SN74lS190 16 N,J D
TTL SN54lS192 SN74lS192 16 N,J D
Presettable Binary Up/Down Counter CMOS MC14516B - 16 P,l D
Presettable Binary/BCD Up/Down Counter CMOS MC14029B - 16 P,l D
Presettable Counter CMOS MC54HC160 MC74HC160 16 N,J D
CMOS MC54HC161A MC74HC161A 16 N,J D
CMOS MC54HCT161A MC74HCT161A 16 N,J D
CMOS MC54HC162 MC74HC162 16 N,J D
CMOS MC54HCI63A MC74HC163 16 N,J D
CMOS MC54HCT163A MC74HCT163A 16 N,J D
Presettable Divide-by-N Counter CMOS MC14018B - 16 P D
Programmable Dual Binary/BCD Counter CMOS MC14569B - 16 P,l DW
Programmable Modulo-N Counters (N=D-9) ECl MC4016 - 16 P,l
ECl MC4018 - 16 P,l
ECl MC4316 - 16 P,l
Synchronous 4-Bit Up/Down Counter TTL SN54lS669 SN74lS669 16 N,J D
Synchronous Presettable Binary Counter CMOS MC74AC161 - 16 N D
CMOS MC74ACT161 - 16 N D
CMOS MC74AC163 - 16 N D
CMOS MC74ACT163 - 16 N D
Synchronous Presettable Binary-Coded-Decimal Decade Counter CMOS MC74AC160 - 16 N D
CMOS MC74ACT160 - 16 N D
CMOS MC74AC162 - 16 N D
CMOS MC74ACT162 - 16 N D
Universal Decade Counter ECl MC10137 - 16 P,l

logic: Standard, Special and Programmable 3.1-20 Motorola Master Selection Guide
Selection by Function
Description Device(s)
COUNTERS

Universal Hexadecimal Counter ECl MC10H136 - 16 P,l FN


ECl MC10136 - 16 P,l FN
Up/Down Counter With Preset and Ripple Clock CMOS MC74AC190 - 16 N D
DECODER/DEMULTIPLEXERS

1-01-10 Decoder CMOS MC74HC42 - 16 N D


TTL SN54lS42 SN74lS42 16 N,J D
1-01-10 Decoder/Driver Open-Collector TTL SN54lS145 SN74lS145 16 N,J D
1-01-10 Decoder, With 3-State Outputs TTL MC74F537 - 20 N DW
1-01-16 Decoder/Demultiplexer CMOS MC54HC154 MC74HC154 24 N,J DW
1-01-16 Decoder/Demultiplexer With Address latch CMOS MC74HC4514 - 24 N DW
1-01-4 Decoder, With 3-State Outputs TTL MC74F539 - 20 N DW
1-01-8 Decoder, With 3-State Outputs TTL MC74F538 - 20 N DW
1-01-8 Decoder/Demultiplexer CMOS MC74AC138 - 16 N D
CMOS MC74ACT138 - 16 N D
TTL MC74F138 - 16 N D
CMOS MC54HC138A MC74HC138A 16 N,J D
CMOS MC74HCT138A - 16 N D,DT
TTL SN54lS138 SN74lS138 16 N,J D
1-01-8 Decoder/Demultiplexer With Address latch CMOS MC74HC137 - 16 N D
CMOS MC74HC237 - 16 N D
3-Line to 8-Line Decoders/Demultiplexers With Address latches TTL SN54lS137 SN74lS137 16 N,J D
4-Bit Transparent latch/4-to-16 Line Decoder (High) CMOS MC14514B - 24 P,l DW
4-Bit Transparent latch/4-to-16 Line Decoder (low) CMOS MC14515B - 24 P,l DW
B-Bit Addressable Latch/l-01-8 Decoder CMOS MC54HC259 MC74HC259 16 N,J D
BCD-to-Decimal Decoder/Binary-to-Octal Decoder CMOS MC14028B - 16 P,l D
Binary to 1-4 Decoder (low) ECl MC10171 - 16 P,l FN
Binary to 1-8 Decoder, (High) ECl MC10H162 - 16 P,l FN
ECl MC10162 - 16 P,l FN
Binary to 1-8 Decoder, (low) ECl MC10H161 - 16 P,l FN
ECl MC10161 - 16 P,l FN
Dual 1-01-4 Decoder TTL SN54lS155 SN74lS155 16 N,J D
Dual 1-01-4 Decoder Open-Collector TTL SN54lS156 SN74lS156 16 N,J D
Dual 1-01-4 Decoder/Demultiplexer CMOS MC74AC139 - 16 N D
CMOS MC74ACT139 - 16 N D
TTL MC74F139 - 16 N D
Dual 1-01-4 Decoder/Demultiplexer CMOS MC54HC139A MC74HC139A 16 N,J D
TTL SN54lS139 SN74lS139 16 N,J D
Dual Binary to 1-4 Decoder (High) ECl MC10H172 - 16 P,l FN
ECl MC10172 - 16 P,l FN
Dual Binary to 1-4 Deyoder (low) ECl MC10H171 - 16 P,l FN
Dual Binary to 1-01-4 Decoder (Active High Outputs) CMOS MC14555B - 16 P D
Dual Binary to 1-01-4 Decoder (Active low Outputs) CMOS MC14556B - 16 P D
low-Voltage Quiet CMOS 1-01-8 Decoder/Demultiplexer CMOS MC74lVQ138 - 16 D,M,
SD,DT
DETECTORS

Analog Mixer ECl MC12002 - 14 P,l


Phase-Frequency Detector ECl MC4044 - 14 P,l D
ECl MC4344 - 14 P,l

Motorola Master Selection Guide 3.1-21 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) I Pins I DIP I SM
DETECTORS

Phase-Frequency Detector

DISPLAY DECODE DRIVERS

BCD-to-Seven Segment Decoder TIL SN54LS48 SN74LS48 16 N,J D


CMOS MC14558B - 16 P,L D
BCD-to-Seven Segment Decoder/Driver TIL SN54LS47 SN74LS47 16 N,J D
TIL SN54LS247 SN74LS247 16 N,J D
TIL SN54LS248 SN74LS248 16 N,J D
TIL SN54LS249 SN74LS249 16 N,J D
BCD-to-Seven Segment Latch/Decoder/Display Driver CMOS MC74HC4511 - 16 N D
BCD-to-Seven Segment Latch/Decoder/Driver CMOS MC14511B - 16 P,L D,DW
BCD-to-Seven Segment Latch/Decoder/Driver for Liquid Crystals CMOS MC14543B - 16 P,L D
BCD-to-Seven Segment Latch/Decoder/Driver With Ripple CMOS MC14544B - 18 P,L
Blanking
CMOS MC14513B - 18 P
High Current BCD-to-Seven Segment Decoder/Driver CMOS MC14547B - 16 P,L DW
DIVIDERS

+ 2 Divider
+4 Divider

Coaxial Cable Driver


300MBit/s LED Driver for FDDI and Fibre Channel

Error Detection-Correction Circuit (IBM Code)


Error Detection-Correction Circuit (Motorola Code)
ENCODERS

1D-Line to 4-Line Priority Encoder TIL SN54LS147 SN74LS147 16 N,J D


8-Bit Priority Encoder CMOS MC14532B - 16 P,L D
8-lnput Priority Encoder TIL SN54LS348 SN74LS348 16 N,J D
ECL MC10H165 - 16 P,L FN
ECL MC10165 - 16 P,L FN
8-lnput Priority Encoder (Glitchless) TIL SN54LS848 SN74LS848 16 N,J D
8-Line to 3-Line Priority Encoder TIL MC74F148 - 16 N D
TIL SN54LS148 SN74LS148. 16 N,J D
TIL SN54LS748 SN74LS748 16 N,J D
Decimal-to-BCD Encoder CMOS MC74HC147 - 16 N D
ENCODERIDECODERS

ICMI Encoder/Decoder IMC100SX1230 28 FN


EXPANDERS

Dual 4-lput Expander HTL MC669 - 14 P,L


Expandable Dual 4-lnput Gate (Active Pullup) HTL MC660 - 14 P,L
Expandable Dual 4-lnput Gate (Passive Pullup) HTL MC661 - 14 P,L
Expandable Dual 4-lnput Line Driver HTL MC662 - 14 P,L
Expandable Dual Power Gate DTL MC844 - 14 P,L
DTL MC944 - 14 P,L

Logic: Standard, Special and Programmable 3.1-22 Motorola Master Selection Guide
Selection by Function
Description Device(s)
FLIP-FLOPS
3-Bit Differential Flip-Flop ECL MC10E431 MC100E431 28 FN
4-Bit D Flip-Flop Individual Clock, Reset Differential Output ECl MC10E131 MC100E131 28 FN
4-Bit D Flip-Flop With Enable TIL SN54LS379 SN74lS379 16 N,J D
4-Bit D-Type Register With With 3-State Outputs TIL SN54lS173A SN74lS173A 16 N,J D
5--Bit Differential Register ECl MC10E452 MC100E452 28 FN
6-Bit 2:1 Mux-Register With Common Clock, Asynchronous ECl MC10E167 MC100E167 28 FN
Master Reset Single Ended
6-Bit D Register With Common Clock, Asynchronous Master ECl MC10E151 MC100E151 28 FN
Reset, Differential Outputs
6-Bit D Register, With Differential Inputs, (Data & Clock) , VBB, ECl MC10E451 MC100E451 28 FN
Common Reset
6-Bit Parallel D Register With Enable CMOS MC74AC378 - 16 N D
CMOS MC74ACT378 - 16 N D
9-Bit Hold Register, 700MHz, With Asynchronous Master Reset ECl MC10E143 MC100E143 28 FN
Clocked Flip-Flop DTl MC845 - 14 P,l
Clocked Flip-Flop DTl MC945 - 14 P,l
D Flip-Flop With Set & Reset ECl MC10El31 MC100El31 8 D
Differential Clock D Flip-Flop ECl MC10El51 MC100El51 8 D
Differential Data & Clock D Flip-Flop ECl MC10El52 MC100El52 8 D
Dual D Flip-Flop CMOS MC74AC74 - 14 N D
CMOS MC74ACT74 - 14 N D
CMOS MC14013B - 14 P,l D
Dual D Flip-Flop With Set and Reset CMOS MC54HC74A MC74HC74A 14 N,J D,DT
Dual D Flip-Flop With Set and Reset With lSTIl Compatible CMOS MC74HCT74A - ,14 N D
Inputs
Dual D-Type Positive Edge-Triggered Flip-Flop TIL MC74F74 - 14 N D
TIL SN54lS74A SN74lS74A 16 N,J D
Dual Differential Data and Clock D Flip-Flop With Set and Reset ECl MC100lVEl29 MC100EL29 20 DW
Dual J-K Negative Edge-Triggered Flip-Flop TIL SN54lS112A SN74lS112A 16 N,J D
TIL SN54lS113A SN74lS113A 14 N,J D
TIL SN54lS114A SN74lS114A 14 N,J D
Dual J-K Positive Edge-Triggered Flip-Flop TIL SN54lS109A SN74lS109A 16 N,J D
Dual J-K Flip-Flop HTl MC663 - 14 P,l
TIL SN54lS107A SN74lS107A 14 N,J D
Dual J-K Flip-Flop (Common Clock and CD Separate SD) DTl MC952 - 14 P,l
Dual J-K Flip-Flop (Separate Clock and SD, No CD) DTl MC953 - 14 P,L
Dual J-K Flip-Flop Negative Edge Trigger CMOS MC74AC112 - 16 N D
CMOS MC74ACT112 - 16 N D
Dual J-K Flip-Flop Negative Edge Trigger CMOS MC74AC113 - 14 N D
CMOS MC74ACT113 - 14 N D
Dual J-K Flip-Flop With Set and Clear TIL SN54lS76A SN74lS76A 16 N,J D
Dual J-K Flip-Flop With Set and Reset CMOS MC74HC112 - 16 N D,DT
Dual J-K Flip-Flop CMOS MC14027B - 16 P,l D
Dual J-K Flip-Flop With Reset CMOS MC74HC73 - 14 N D
CMOS MC74HC107 - 14 N D
Dual J-K Flip-Flop With Set and Reset CMOS MC74HC76 - 16 N D
Dual J-K Master-8lave Flip-Flop ECl MC10135 - 16 P,l FN
ECl MC10H135 - 16 P,l FN

Motorola Master Selection Guide 3.1-23 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) I Pins I I
DIP SM
FLIP-FLOPS
Dual J-K Negative Edge-Triggered Flip-Flop TTL MC74F112 - 16 N D
TTL SN54LS73A SN74lS73A 14 N,J D
Dual J-K Positive Edge-Triggered Flip--:-Flop With Set & Clear CMOS MC74AC109 - 16 N D
CMOS MC74ACT109 - 16 N D
Dual J-K Flip--:-Flop With Set and Reset CMOS MC74HC109 - 16 N D
Dual J-K Positive Edge-Triggered Flip-Flop TTL MC74F109 - 16 N D
Dual Type-D Master-Slave Flip--:-Flop ECl MC10131 - 16 P,l FN
ECl MC10H131 - 16 P,l FN
Hex D Flip-Flop TTL SN54lS174 SN74lS174 16 N,J D
Hex D Flip--:-Flop With Enable TTL SN54lS378 SN74lS378 16 N,J D
Hex D Flip-Flop With Master Reset CMOS MC74AC174 - 16 N D
TTL MC74F174 - 16 N D
CMOS MC74ACT174 - 16 N D
Hex D Flip--:-Flop CMOS MC14174B - 16 P,l D
Hex D Flip--:-Flop With Common Clock & Reset CMOS MC54HC174A MC74HC174A 16 N,J D
Hex D Flip--:-Flop With Common Clock & Reset CMOS MC74HCT174A - 16 N D
Hex D Master-8lave Flip--:-Flop ECl MC10H176 - 16 P,l FN
Hex D Master-8lave Flip--:-Flop With Reset ECl MC10H186 - 16 P,l FN
ECl MC10186 - 16 P,l FN
Hex D Master-8lave Flip--:-Flop ECl MC10176 - 16 P,l FN
High Speed Dual D Master-Slave Flip--:-Flop ECl MC10231 - 16 P,l FN
J-K Flip-Flop ECl MC10El35 MC100El35 8 D
low-Voltage CMOS Octal D-Type Flip--:-Flop, 3-State, CMOS MC74lCX374 - 20 DW,M,
Non-Inverting With 5V Tolerant Inputs and Outputs DT
low-Voltage CMOS Octal D-Type Flip--:-Flop Flow Through Pinout, CMOS MC74lCX574 - 20 DW,M,
3-8tate, Non-Inverting With 5V Tolerant Inputs and Outputs DT
low-Voltage Quiet CMOS Octal D-Type Flip--:-Flop CMOS MC74lVQ374 - 20 DW,M,
SD,DT
Low-Voltage Quiet CMOS Octal D-Type Flip--:-Flop Flow Through CMOS MC74lVQ574 - 20 DW,M,
Pinout SD,DT
Master-8lave Flip--:-Flop ECl MC1670 - 16 l
Master-Slave R-S Flip-Flop HTl MC664 - 14 P,l
Octal 3-8tate Inverting D Flip--:-Flop CMOS MC54HC534A MC74HC534A 20 N,J DW
Octal 3-State Non-Inverting D Flip--:-Flop With lSTTl Compatible CMOS MC54HCT374A MC74HCT374A 20 N,J DW
Inputs
Octal D Flip Flop, With 3-8tate Outputs TTL MC74F374 - 20 N DW
Octal D Flip-Flop CMOS MC74AC273 - 20 N DW
CMOS MC74ACT273 - 20 N DW
Octal D Flip-Flop With 3-8tate OutputsiBroadside Pinout, F374 TTL MC74F574 - 20 N DW
Octal D Flip--:-Flop With Clear TTL SN54lS273 SN74lS273 20 N,J DW
Octal D Flip--:-Flop With Clock Enable CMOS MC74AC377 - 20 N DW
CMOS MC74ACT377 - 20 N DW
Octal D Flip--:-Flop With Common Clock & Reset CMOS MC54HC273A MC74HC273A 20 N,J DW,
DT
Octal D. Flip--:-Flop With Common Clock and Reset With lSTTl CMOS MC74HCT273A - 20 N DW
Compatible Inputs
Octal D Flip--:-Flop With Enable TTL MC74F377 - 20 N DW
Octal D Flip--:-Flop With Enable! Non-Inverting TTL SN54lS377 SN74lS377 20 N,J DW
Octal D Type Flip-Flop With 3-State Outputs CMOS MC74AC374 - 20 N DW

logic: Standard, Special and Programmable 3.1-24 Motorola Master Selection Guide
Selection by Function
Description Device(s)
FLIP-FLOPS

Octal D Type Flip-Flop With 3-State Outputs CMOS MC74ACT374 - 20 N DW


TTL MC74F534 - 20 N DW
TTL SN54LS374 SN74LS374 20 N,J DW
CMOS MC74AC534 - 20 N DW
Octal D-Type Flip-Flop WITh 3-State Outputs CMOS MC74ACT534 - 20 N OW
Octal D-Type Latch With 3-State Outputs CMOS MC74AC564 - 20 N DW
CMOS MC74ACT564 - 20 N DW
CMOS MC74AC574 - 20 N DW
CMOS MC74ACT574 - 20 N DW
Octal With 3-State Outputs Inverting D Flip-Flop CMOS MC74HC564 - 20 N DW
Octal With 3-State Outputs Non-Inverting D Flip-Flop CMOS MC54HC374A MC74HC374A 20 N,J DW,
SD,DT
CMOS MC54HC574A MC74HC574A 20 N,J DW
Octal With 3-State Outputs Non-Inverting D Flip-Flop With LSTTL CMOS MC54HCT574A MC74HCT574A 20 N,J DW
Compatible Inputs
Quad D Flip-Flop CMOS MC74AC175 - 16 N D
CMOS MC74ACT175 - 16 N D
TTL MC74F175 - 16 N D
TTL SN54LS175 SN74LS175 16 N,J D
CMOS MC14175B - 16 P,L D
Quad D Flip-Flop With Common Clock & Reset CMOS MC54HC175 MC74HC175 16 N,J D
CMOS MC54HC175A MC74HC175A 16 N,J D,SD
Quad D-Type Register With 3-State Outputs CMOS MC14076B - 16 P,L D
Quad Parallel Register With Enable TTL MC74F379 - 16 N D
Quad With 3-State Outputs D Flip-Flop With Common Clock & CMOS MC74HC173 - 16 N D
Reset
Triple D Flip-Flop With Set and Reset ECL MC100LVEL30 MC100EL30 20 DW
GATES, AND/NAND

13-lnput NAND Gate CMOS MC74HC133 - 16 N D


TTL SN54LS133 SN74LS133 16 N,J D
8-lnput NAND Gate CMOS MC74HC30 - 14 N D
TTL SN54LS30 SN74LS30 14 N,J D
CMOS MC14068B - 14 P D
Dual 4-lnput AND Gate TTL MC74F21 - 14 N D
TTL SN54LS21 SN74LS21 14 N,J D
CMOS MC14082B - 14 P,L D
Dual 4-lnput NAND Buffer TTL MC74F40 - 14 N D
TTL SN54LS40 SN74LS40 14 N,J D
Dual 4-lnput NAND Gate CMOS MC74AC20 - 14 N D
CMOS MC74ACT20 - 14 N D
TTL MC74F20 - 14 N D
CMOS MC74HC20 - 14 N D
TTL SN54LS20 SN74LS20 14 N,J D
TTL SN54LS22 SN74LS22 14 N,J D
CMOS MC14012B - 14 P,L D
Dual 4-lnput NAND Gate (Unbuffered) CMOS MC14012UB - 14 P,L D
.~<~~~~

Expandable NAND Gate DTL MC830 - 14 P,L


Hex AND Gate
~"

ECL MCI 0197~, __ L-_,.._=_,___,


"~'~'-I----~--' ~---~
16 P,L FN --

Motorola Master Selection Guide 3.1-25 Logic: Standard, Special and Programmable
Selection by Function
Description Device(s)
GATES, AND/NAND

Low-Voltage CMOS Quad 2-lnput AND Gate, 5V-Tolerant Inputs CMOS MC74LCX08 - 14 D,DT
Low-Voltage CMOS Quad 2-lnput NAND Gate, 5V-Tolerant Inputs CMOS MC74LCXOO - 14 D,DT
Low-Voltage Quiet CMOS Quad 2-lnput NAND Gate CMOS MC74LVQOO - 14 D,M,
DT,SD
Quad 2-lnput AND Gate CMOS MC74AC08 - 14 N D
CMOS MC74ACT08 - 14 N D
TTL MC74F08 - 14 N D
CMOS MC54HC08A MC74HC08A 14 N,J D,DT
TTL SN54LS08 SN74LS08 14 N,J D
TTL SN54LS09 SN74LS09 14 N,J D
ECL MC10Hl04 - 16 P,L FN
Quad 2-lnput AND Gate ECL MC10l04 - 16 P,L FN
CMOS MC14081B - 14 P,L D
Quad 2-lnput AND Gate With LSTTL-Compatible Inputs CMOS MC54HCT08A MC74HCT08A 14 N,J D
Quad 2-lnput NAND Buffer TTL MC74F37 - 14 N D
TTL SN54LS26 SN74LS26 14 N,J D
TTL SN54LS37 SN74LS37 14 N,J D
Quad 2-lnput NAND Buffer Open-Collector TTL MC74F38 - 14 N D
Quad 2-lnput NAND Buffer Open-Collector TTL SN54LS38 SN74LS38 14 N,J D
Quad 2-lnput NAND Gate DTL MC846 - 14 P,L
DTL MC849 - 14 P,L
DTL MC946 - 14 P,L
CMOS MC74ACOO - 14 N D
Quad 2-lnput NAND Gate CMOS MC74ACTOO - 14 N D
TTL MC74FOO - 14 N D
CMOS MC54HCOOA MC74HCOOA 14 N,J D,DT
TTL SN54LSOO SN74LSOO 14 N,J D
TTL SN54LSOI SN74LSOI 14 N,J D
TTL SN54LS03 SN74LS03 14 N,J D
CMOS MC14011B - 14 P,L D
Quad 2-lnput NAND Gate (Unbuffered) CMOS MC14011UB - 14 P,L D
Quad 2-lnput NAND Gate With LSTTL-Compatible Inputs CMOS MC54HCTOOA MC74HCTOOA 14 N,J D
Quad 2-lnput NAND Gate With Open-Drain Outputs CMOS MC74HC03A - 14 N D,DT
Triple 3-lnput AND Gate CMOS MC74ACII - 14 N D
CMOS MC74ACTII - 14 N D
TTL MC74Fli - 14 N D
CMOS MC74HCli - 14 N D
TTL SN54LSli SN74LSII 14 N,J D
TTL SN54LS15 SN74LS15 14 N,J D
CMOS MC14073B - 14 P,L D
Triple 3-lnput NAND Gate CMOS MC74AC10 - 14 N D
CMOS MC74ACT10 - 14 N D
TTL MC74Fl0 - 14 N D
CMOS MC74HC10 - 14 N D
TTL SN54LS10 SN74LS10 14 N,J D
TTL SN54LS12 SN74LS12 14 N,J D
CMOS MC14023B - 14 P,L D
Triple 3-lnput NAND Gate (Unbuffered) CMOS MC14023UB - 14 P,L D

Logic: Standard, Special and Programmable 3.1-26 Motorola Master Selection Guide
Selection by Function
Description Tech.
GATES, COMPLEX

2-lnput AND/NAND Gate ECl MC10ElO4 -MC100El04-'-r-i-" ._- -=-~J


2-lnput Differential AND/NAND Gate ECl MC10ElO5 MC100ElO5 8 D
" ~ r--'~- -"[)
2-lnput XOR/NOR Gate ECl MC10ElO7 MC100ElO7 8
D--
2-Wide, 2-lnpuV2-Wide, 3-lnput AND-NOR Gate CMOS MC74HC51 - 14 N
2-Wide, 2-lnpuV2-Wide, 3-lnput AND-OR Gate CMOS MC74HC58 - 14 N D
2-Wide, 4-lnput AND/OR Invert Gate TTL SN54lS55 SN74lS55 14 N.J D
3-2-2-3-lnput AND/OR Invert Gate TTL SN54lS54 SN74LS54 14 N,J D
4-2-3-2 Input AND-OR-Invert Gate TTL MC74F64 - 14 N D
4-Bit AND/OR Selector CMOS MC14519B - 16 P D
4-lnput OR/NOR Gate ECl MC10ElOl MC100ElOl 8 D
4-Wide 4-3-3-3 Input OR-AND Gate ECl MC10H119 - 16 P,l FN
4-Wide 4-3-3-3 Input OR-AND Gate ECl MC10119 - 16 P.l FN
4-Wide OR-AND/OR-AND-Invert Gate ECl MC10H121 - 16 P,l FN
4-Wide OR-AND/OR-AND-Invert Gate ECl MC10121 - 16 P,l FN
8-lnput NOR/OR Gate CMOS MC74HC4078 - 14 N D
Dual 2 Wide 2-lnpuV3-lnput AND/OR Invert Gate TTL SN54lS51 SN74lS51 14 N,J D
--~~
Dual 2-Wide 2-3-lnput OR-AND/OR-AND-Invert Gate ECl MC10117 - 16 P,l FN
-- ~.

ECl MC10H117 - 16 P,L FN


Dual 2-Wide 2-lnput, 2-Wide 3-lnput AND-OR-Invert Gate TTL MC74F51 - 14 N D
Dual 2-Wide 3-lnput OR-AND Gate ECl MC10H118 - 16 P,L FN
ECl MC10118 - 16 P,l FN
Dual 4-5 Input OR/NOR Gate ECl MC10Hl09 - 16 P,l FN
ECl MC10109 - 16 P.l FN
ECl MC10H209 - 16 P,l FN
Dual 4-lnput NAND, 2-lnput NOR/OR, 8-lnput AND/NAND Gate CMOS MC1450tUB - 16 P D
(Unbuffered)
Dual 4-lnput OR/NOR Gate ECl MC1660 - 16 l
Dual 5-lnput Majority logic Gate CMOS MC14530B - 16 P D
Dual Expandable AND OR Invert Gate (Unbuffered) CMOS MC14506UB - 16 l
Hex NAND/NOR/Invert Gate (Unbuffered) CMOS MC14572UB - 16 P D
High Speed Dual 3-lnput 3-Output OR/NOR Gate ECl MC10212 - 16 P
Quad 4-lnput OR/NOR Gate ECl MC10El0l MC100El0l 28 FN
Quad Differential AND/NAND Gate ECl MC10E404 MC100E404 28 FN
Quad OR/NOR Gate ECl MC10Hl01 - 16 P,l FN
ECl MC10l0l - 16 P,l FN
Quint 2-lnput AND/NAND Gate ECl MC10El04 MC100El04 28 FN
Quint 2-lnput XOR/XNOR Gate ECl MC10El07 MC100El07 28 FN
Triple 2-3-2 Input OR/NOR Gate ECl MC10Hl05 - 16 P,l FN
ECl MC10l05 - 16 P,l FN
Triple 2-lnput Exclusive OR/Exclusive NOR Gate ECl MC10Hl07 - 16 P.l FN
ECl MC10l07 - 16 P,l FN
GATES, EXCLUSIVE ORlEXCLUSIVE NOR

Quad 2-lnput Exclusive NOR Gate CMOS MC74AC810 - 14 N DW


CMOS MC74ACT810 - 14 N DW
CMOS MC74HC7266 - 14 N D
TTL SN54lS266 SN74lS266 14 N,J D
Quad Exclusive NOR Gate CMOS MC14077B - 14 P,l D
Quad 2-lnput Exclusive OR Gate CMOS MC74AC86 - 14 N D

Motorola Master Selection Guide 3.1-27 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
GATES, EXCLUSIVE OR/EXCLUSIVE NOR

Quad 2-lnput Exclusive OR Gate CMOS MC74ACT86 - 14 N D


TTL MC74F86 - 14 N D
CMOS MC54HC86 MC74HC86 14 N,J D
TTL SN74lS136 - 14 N,J D
TTL SN54lS386 SN74lS386 14 N,J D
Quad Exclusive OR Gate TTL SN54lS86 SN74lS86 14 N,J D
ECl MC10H113 - 16 P,l FN
ECl MC10113 - 16 P,l FN
CMOS MC14070B - 14 P,l D
Triple 2-lnput Exclusive-OR Gate ECl MC1672 - 16 l
GATES, NOR

8-lnput NOR Gate CMOS MC14078B - 14 P D


Dual 3-lnput 3-0utput NOR Gate ECl MC10111 - 16 P,l FN
Dual 3-lnput NOR Gate + Inverter (Unbuffered) CMOS MC14000UB - 14 P,l D
Dual 3-lnput, 3-0utput NOR Gate ECl MC10H211 - 16 P,l FN
Dual 3-lnput, 3-Output NOR Gate ECl MC10211 - 16 P,l FN
Dual 4-lnput NOR Gate CMOS MC74HC4002 - 14 N D
CMOS MC14002B - 14 P,l D
Dual 4-lnput NOR Gate (Unbuffered) CMOS MC14002UB - 14 P,l D
Dual 5-lnput NOR Gate TTL SN54lS260 SN74lS260 14 N,J D
lOW-Voltage CMOS Quad 2-lnput NOR Gate, 5V-Tolerant Inputs CMOS MC74lCX02 - 14 D,DT
Quad 2-lnput NOR Buffer TTL SN54lS28 SN74lS28 14 N,J D
Quad 2-lnput NOR Buffer TTL SN54lS33 SN74lS33 14 N,J D
Quad 2-lnput NOR Gate CMOS MC74AC02 - 14 N D
CMOS MC74ACT02 - 14 N D
TTL MC74F02 - 14 N D
CMOS MC54HC02A MC74HC02A 14 N,J D,DT
TTL SN54lS02 SN74lS02 14 N,J D
ECl MC10H102 - 16 P,l FN
ECl MC10102 - 16 P,l FN
ECl MC1662 - 16 l
Quad 2-lnput NOR Gate CMOS MC14001B - 14 P,l D
Quad 2-lnput NOR Gate (Unbuffered) CMOS MC14001UB - 14 P,l D
Quad 2-lnput NOR Gate With strobe ECl MC10H100 - 16 P,l FN
ECl MC10100 - 16 P,l FN
Triple 3-lnput NOR Gate CMOS MC54HC27 MC74HC27 14 N,J D
TTL SN54lS27 SN74lS27 14 N,J D
CMOS MC14025B - 14 P,l D
Triple 3-lnput NOR Gate (Unbuffered) CMOS MC14025UB - 14 P,l D
Triple 4-3-3 Input NOR Gate ECl MC10H106 - 16 P,l FN
ECl MC10106 - 16 P,l FN
GATES, OR

Dual 3-lnput 3-Output OR Gate ECl MC10110 - 16 P,l FN


ECl MC10H210 - 16 P,l FN
ECl MC10210 - 16 P,l FN
Dual 4-lnput OR Gate CMOS MC14072B - 14 P D
low-Voltage CMOS Quad 2-lnput OR Gate, 5V-Tolerant Inputs CMOS MC74lCX32 - 14 D,DT

logic: Standard, Special and Programmable 3.1-28 Motorola Master Selection Guide
Selection by Function
Description Device(s)
GATES,OR

Quad 2-lnput OR Gate CMOS MC74AC32 - 14 N D


CMOS MC74ACT32 - 14 N D
TTL MC74F32 - 14 N D
CMOS MC54HC32A MC74HC32A 14 N,J D,DT
CMOS MC54HCT32A MC74HCT32A 14 N,J D
TTL SN54lS32 SN74LS32 14 N,J D
ECl MC10H103 - 16 P,l FN
ECl MC10103 - 16 P,l FN
CMOS MC14071B - 14 P,l D
Triple 3-lnput OR Gate CMOS MC74HC4075 - 14 N D
CMOS MC14075B - 14 P,l D
INDUSTRIAL CONTROL UNIT

IIndustrial Control Unit ICMOS IMC14500B 16 P DW


INVERTERS

Hex Inverter DTl MC836 - 14 P,L


DTl MC837 - 14 P,l
Hex Inverter DTl MC936 - 14 P,l
DTl MC937 - 14 P,l
Hex Inverter (Without Input Diodes) DTL MC840 - 14 P,l
INVERTERIBUFFERS,2-STATE

9-Bit Buffer ECl MC10E122 MC100E122 28 FN


Driver ECl MC10EL12 MC100El12 8 D
Dual Complementary Pair Plus Inverter (Unbuffered) CMOS MC14007UB - 14 P D
Hex Buffer With Enable ECl MC10H188 - 16 P,l FN
ECl MC10188 - 16 P,l FN
Hex Buffer/Non-Inverting CMOS MC14050B - 16 P,l D
Hex Inverter CMOS MC74AC04 - 14 N D
CMOS MC74ACT04 - 14 N D
TTL MC74F04 - 14 N D
CMOS MC54HC04A MC74HC04A 14 N,J D,SD,
DT
TTL SN54lS04 SN74lS04 14 N,J D
TTL SN54lS05 SN74lS05 14 N,J D
Hex Inverter Gate (Unbuffered) CMOS MC14069UB - 14 P,L D
Hex Inverter With Enable ECl MC10H189 - 16 P,l FN
ECl MC10189 - 16 P,l FN
Hex Inverter With lSTTl Compatible Inputs CMOS MC74HCT04A - 14 N D,DT
Hex Inverter With open Drain Outputs CMOS MC74AC05 - 14 N D
CMOS MC74ACT05 - 14 N D
Hex Inverter With Strobe (Active Pullup) HTl MC677 - 14 P,l
Hex Inverter With Strobe (Without Output Resistors) HTl MC678 - 14 P,l
Hex Inverter/Buffer ECl MC10195 - 16 P,l FN
CMOS MC14049B - 16 P D
Hex Inverter/Buffer (Unbuffered) CMOS MC14049UB - 16 P,l D
Hex Inverting Buffer/logic-level Down Converter CMOS MC54HC4049 MC74HC4049 16 N,J D
Hex Non-Inverting Buffer/logic-level Down Converter CMOS MC54HC4050 MC74HC4050 16 N,J D
Hex Unbuffered Inverter CMOS MC74HCU04 - 14 N D

Motorola Master Selection Guide 3.1-29 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
INVERTER/BUFFERS,2-STATE
Low-Voltage CMOS Hex Inverter, With 5V-Tolerant Inputs CMOS MC74LCX04 - 14 D,DT
Low-Voltage QUiet CMOS Hex Inverter CMOS MC74LVQ04 - 14 D,M,
SD,DT
Quad 2-lnput Gate (Active Pullup) HTL MC672 - 14 P,L
Quad 2-lnput Gate (Passive Pullup) HTL MC668 - 14 P,L
Quad Driver ECL MC10E112 MC100E112 28 FN
Strobed Hex InverteriBuffer CMOS MC14502B - 16 P,L DW
Triple 3-lnput Gate (Active Pullup) HTL MC671 - 14 P,L
Triple 3-lnput Gate (Passive Pull up) HTL MC670 - 14 P,L
LATCHES

3-Bit 4:1 Mux-Latch (Integrated E156 & E171) ECL MC10E256 MC100E256 28 FN
3-Bit 4:1 Mux-Latch, With Common Enable, Asynchronous Master ECL MC10E156 MC100E156 28 FN
Reset, Differential Output
4-Bit D Latch TTL SN54LS75 SN74LS75 16 N,J D
TTL SN54LS77 SN74LS77 14 N,J D
TTL SN54LS375 SN74LS375 16 N,J D
5-Bit 2: 1 Mux-Latch, With Common Enable, Asynchronous Master ECL MC10E154 MC100E154 28 FN
Reset Differential Output
6-Bit 2: 1 Mux-Latch, With Common Enable, Asynchronous Master ECL MC10E155 MC100E155 28 FN
Reset Single Ended
6-Bit D Latch ECL MC10E150 MC100E150 28 FN
8-Bit Addressable Latch CMOS MC74AC259 - 16 N D
CMOS MC74ACT259 - 16 N D
TTL MC74F259 - 16 N D
TTL SN54LS259 SN74LS259 16 N,J D
8-Bit Addressable Latch CMOS MC14099B - 16 P DW
CMOS MC14599B - 18 P
8-Bit Bus Compatible Addressable Latch CMOS MC14598B - 18 P,L
9-Bit Latch, With Parity ECL MC10E175 MC100E175 28 FN
Dual Latch ECL MC10H130 - 16 P,L FN
Dual 2-Bit Transparent Latch CMOS MC74HC75 - 16 N D
Dual 4-Bit Addressable Latch CMOS MC74AC256 - 16 N DW
CMOS MC74ACT256 - 16 N DW
TTL MC74F256 - 16 N D
TTL SN54LS256 - 16 N,J D
Dual 4-Bit Latch CMOS MC14508B - 24 P,L DW
Dual Latch ECL MC10130 - 16 P,L FN
Low-Voltage CMOS Octal Transparent Latch, 3-State, CMOS MC74LCX373 - 20 DW,M,
Non-Inverting With 5V Tolerant Inputs and Outputs DT
Low-Voltage CMOS Octal Transparent Latch Flow Through Pinout, CMOS MC74LCX573 - 20 DW,M,
3-State, Non-Inverting With 5V Tolerant Inputs and Outputs SD,DT
Low-Voltage Quiet CMOS Octal Transparent Latch CMOS MC74LVQ373 - 20 DW,M,
SD,DT
Low-Voltage Quiet CMOS Octal Transparent Latch Flow Through CMOS MC74LVQ573 - 20 DW,M,
Pinout SD,DT
Octal 3-State Non-Inverting Transparent Latch With LSTTL CMOS MC54HCT373A MC74HCT373A 20 N,J DW,
Compatible Inputs SD,DT
Octal D Latch With 3-State Outputs CMOS MC74AC563 - 20 N DW
CMOS MC74ACT563 - 20 N DW
CMOS MC74AC573 - 20 N DW

Logic: Standard, Special and Programmable 3.1-30 Motorola Master Selection Guide
Selection by Function
Description Device(s)
LATCHES

Octal D latch With 3-State Outputs CMOS MC74ACT573 - 20 N DW


Octal Transparent latch With 3-State Outputs CMOS MC74AC373 - 20 N DW
CMOS MC74ACT373 - 20 N DW
TTL SN54lS373 SN74LS373 20 N,J DW
TTL MC74F373 - 20 N DW
TTL MC74F533 - 20 N DW
CMOS MC74AC533 - 20 N DW
CMOS MC74ACT533 - 20 N DW
Octal With 3-State Outputs Inverting Transparent latch CMOS MC54HC533A MC74HC533A 20 N,J DW
CMOS MC54HC563 MC74HC563 20 N,J DW
Octal With 3-State Outputs Non-Inverting Transparent latch CMOS MC54HC373A MC74HC373A 20 N,J DW
CMOS MC54HC573A MC74HC573A 20 N,J DW
Octal With 3-State Outputs Non-Inverting Transparent latch With CMOS MC74HCT573A - 20 N DW
lSTTl Compatible Inputs
Quad latch ECl MC10133 - 16 P,l FN
ECl MC10153 - 16 P,l FN
ECl MC10168 - 16 P
Quad NAND R-8 latch CMOS MC14044B - 16 P D
Quad NOR R-S latch CMOS MC14043B - 16 P,l D
Quad Set/Reset latch TTL SN54lS279 SN74lS279 16 N,J D
Quad Transparent latch CMOS MC14042B - 16 P,l D
Quint latch ECl MC10H175 - 16 P,l FN
ECl MC10175 - 16 P,l FN
MEMORY SUPPORT

I4-Bit ECl-TTl load Reducing DRAM Driver IECl I MC10H660 I MC100H660 28 FN


MISCELLANEOUS

I Data Separator IECl I MC10E197 28 FN


MULTIPLEXERIDATA SELECTORS

1-01--8 Decoder/Demultiplexer CMOS MC74AC151 - 16 N D


CMOS MC74ACT151 - 16 N D
16-Channel Analog Multiplexer/Demultiplexer CMOS MC14067B - 24 P DW
16:1 Multiplexer ECl MC10E164 MC100E164 28 FN
2-Bit 8:1 Multiplexer ECl MC10E163 MC100E163 28 FN
2:1 Multiplexer ECl MC10El58 MC100El58 8 D
3-Bit 4:1 Multiplexer, With Split Select Differential Output ECl MC10E171 MC100E171 28 FN
4:1 Differential Multiplexer ECl MC10El57 MC100EL57 16 D
5-Bit 2:1 Multiplexer, With Differential Output ECl MC10E158 MC100E158 28 FN
8-Channel Analog Multiplexer/Demultiplexer With Address latch CMOS MC54HC4351 MC74HC4351 20 N,J DW
8-Channel Analog Multiplexer/Demultiplexer CMOS MC54HC4051 MC74HC4051 16 N,J D,DW
,DT
CMOS MC14051B - 16 P,l D
8-Channel Data Selector CMOS MC14512B - 16 P,l D
8-lnput Data Selector/Multiplexer CMOS MC74HC151 - 16 N D
8-lnput Data Selector/Multiplexer With 3-State Outputs CMOS MC54HC251 MC74HC251 16 N,J D
B-Input Multiplexer TTL MC74F151 - 16 N D
TTL SN54lS151 SN74lS151 16 N,J D
B-Input Multiplexer With 3-8tate Outputs TTL SN54lS251 SN74LS251 16 N,J D
TTL MC74F251 - 16 N D

Motorola Master Selection Guide 3.1--31 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) Pins I DIP I 8M
MULTIPLEXER/DATA SELECTORS

8-lnput Multiplexer With 3-State Outputs CMOS MC74AC251 - 16 N D


CMOS MC74ACT251 - 16 N D
8-lnput Data Selector/Multiplexer With Data and Address Latchs CMOS MC54HC354 MC74HC354 20 N,J DW
and With 3-State Outputs
8-Line Multiplexer ECl MC10H164 - 16 P,l FN
ECl MC10164 - 16 P,l FN
Dual 4-Channel Analog Data Selector CMOS MC14529B - 16 P D
Dual 4-Channel Analog Multiplexer/Demultiplexer CMOS MC74HC4052 - 16 N D,DW
CMOS MC14052B - 16 P,l D
Dual 4-Channel Data Selector/Multiplexer CMOS MC14539B - 16 P D
Dual 4-lnput Data Selector/Multiplexer CMOS MC74HC153 - 16 N D
Dual 4-lnput Data Selector/Multiplexer With 3-State Outputs CMOS MC74HC253 - 16 N D
Dual 4-lnput Multiplexer CMOS MC74AC153 - 16 N D
CMOS MC74ACT153 - 16 N D
CMOS MC74AC352 - 16 N DW
CMOS MC74ACT352 - 16 N DW
TTL MC74F153 - 16 N D
TTL MC74F352 - 16 N D
TTL SN54lS153 SN74lS153 16 N,J D
TTL SN54lS352 SN74lS352 16 N,J D
Dual 4-lnput Multiplexer With 3-State Outputs CMOS MC74AC253 - 16 N DW
CMOS MC74ACT253 - 16 N DW
CMOS MC74AC353 - 16 N D
CMOS MC74ACT353 - 16 N D
TTL SN54lS253 SN74lS253 16 N,J D
TTL SN54lS353 SN74lS353 16 N,J D
Dual 4-lnput Multiplexer With 3-State Outputs TTL MC74F253 - 16 N D
TTL MC74F353 - 16 N D
Dual4-to-l Multiplexer ECl MC10H174 - 16 P,l FN
ECl MC10174 - 16 P,l FN
Dual Differential 2:1 Multiplexer (3.3V) ECl MC100lVEL56 MC100El56 20 DW
Dual Multiplexer With Latch ECl MC10134 - 16 P,l FN
Dual Multiplexer With latch and Common Reset ECl MC10132 - 16 P,l FN
low Voltage 16:1 Multiplexer ECl MC100lVE164 - 32 FA
Quad 2-lnput Multiplexer With Latch ECl MC10H173 - 16 P,l FN
Quad 2-Channel Analog Multiplexer/Demultiplexer CMOS MC14551B - 16 P D
Quad 2-lnput Data Selector/Multiplexer CMOS MC54HC158 MC74HC158 16 N,J D
Quad 2-lnput Data SelectorlMultiplexer With 3-State Outputs CMOS MC74HC257 - 16 N D
Quad 2-lnput Data Selector/Multiplexer With lSTTl Compatible CMOS MC74HCT157A - 16 N D
Inputs
Quad 2-lnput Data Selectors/Multiplexers CMOS MC54HC157A MC74HC157A 16 N,J D,DT
Quad 2-lnput Multiplexer TTL MC74F157A - 16 N D
TTL MC74F158A - 16 N D
TTL SN54lS157 SN74lS157 16 N,J D
TTL SN54lS158 SN74lS158 16 N,J D
Quad 2-lnput Multiplexer (Inverting) ECl MC10159 - 16 P,l FN
Quad 2-lnput Multiplexer (Non-Inverting) ECl MC10158 - 16 P,l FN

logic: Standard, Special and Programmable 3.1-32 Motorola Master Selection Guide
Selection by Function
Description Tech. Device(s) Pins I DIP I SM
MULTIPLEXER/DATA SELECTORS

Quad 2-lnput Multiplexer Inverting With 3-State Outputs CMOS MC74AC258 - 16 N DW


CMOS MC74ACT258 - 16 N DW
Quad 2-lnput Multiplexer Non-Inverting With 3-State Outputs CMOS MC74ACT257 - 16 N D
CMOS MC74AC257 - 16 N D
Quad 2-lnput Multiplexer With 3-State Outputs TIL SN54lS257B SN74lS257B 16 N,J D
Quad 2-lnput Multiplexer With Storage TIL SN54lS298 SN74lS298 16 N,J D
Quad 2-lnput Multiplexer, Inverting CMOS MC74AC158 - 16 N D
CMOS MC74ACT158 - 16 N D
Quad 2-lnput Multiplexer, Inverting Output ECl MC10H159 - 16 P,l FN
Quad 2-lnput Multiplexer, Inverting, With 3-3tate Outputs TIL SN54lS258B SN74lS258B 16 N,J D
Quad 2-lnput Multiplexer, Non-Inverting CMOS MC74AC157 - 16 N D
CMOS MC74ACT157 - 16 N D
Quad 2-lnput Multiplexer, Non-Inverting Output ECl MC10H158 - 16 P,l FN
Quad 2-lnput Multiplexer, With 3-State Outputs TIL MC74F257A - 16 N D
TIL MC74F258A - 16 N D
Quad 2-lnput Multiplexer/latch ECl MC10173 - 16 P,l FN
Quad 2-Port Register TIL MC74F398 - 20 N DW
TIL MC74F399 - 16 N D
TIL SN54lS398 SN74lS398 20 N,J DW
TIL SN54lS399 SN74lS399 16 N,J D
Quad 2:1 Mux, Individual-Select ECl MC10E157 MC100E157 28 FN
Quad Analog Switch/Multiplexer CMOS MC14016B - 14 P,l D
CMOS MC14066B - 14 P,l D
Quad Analog Switch/Multiplexer/Demultiplexer CMOS MC54HC4016 MC74HC4016 14 N,J D
CMOS MC54HC4066 MC74HC4066 14 N,J D,DT
Quad Analog Switch/Multiplexer/Demultiplexer With Separate CMOS MC74HC4316 - 16 N D
Analog/Digital Power Supplies
Triple 2-Channel Analog Multiplexer/Demultiplexer CMOS MC54HC4053 MC74HC4053 16 N,J D,DW
CMOS MC14053B - 16 P,l D
Triple 2-Channel Analog Multiplexer/Demultiplexer With Address CMOS MC54HC4353 MC74HC4353 20 N,J DW
latch
Triple 2:1 Multiplexer ECl MC100El59 - 20 DW
Triple 2:1 Multiplexer (3.3V) ECl MC100lVEl59 - 20 DW
Triple Differential 2:1 Multiplexer ECl MC100E457 - 28 FN
ECl MC10E457 - 28 FN
MULTIVIBRATORS

130MHz Voltage Controlled Multivibrator ECl MC12101 - 20 P FN


200 MHz Voltage Controlled Multivibrator ECl MC12100 - 20 P FN
Dual Monostable Multivibrator HTl MC667 - 14 P,l
CMOS MC14528B - 16 P,l D
Dual Monstable Multivibrators With Schmitt Trigger Inputs TIL SN54lS221 SN74lS221 16 N,J D
Dual Precision Monostable Multivibrator Retriggerable, Resettable) CMOS MC54HC4538A MC74HC4538A 16 N,J 0
Dual Precision Monostable Multivibrator CMOS MC14538B - 16 P,l D,DW
Dual Voltage-Controlled Multivibrator ECl MC4024 - 14 P,l
Monostable Multivibrator DTl MC951 - 14 P,l
ECl MC10198 - 16 P,l FN
Retriggerable Monostable Multivibrators TIL SN54lS122 SN74lS122 14 N,J D
TIL SN54lS123 SN74lS123 14 N,J D

Motorola Master Selection Guide 3.1-33 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) I Pins I DIP I SM
MULTIVIBRATORS

IVoltage Controlled Multivibrator IMC1658 16 P,l D,FN


OSCILLATORS

7-Stage Binary Ripple Counter CMOS MC74HC4024 - 14 N D


Crystal Oscillator ECl MC12061 - 16 P,l
Dual VoltagiH::ontrolied MuHivibrator ECl MC4324 - 14 P,l
low Power Voltage Controlled Oscillator ECl MC12148 - 8 D,SD
Voltage Controlled Oscillator ECl MC1648 - 14 P,l D,FN
OSCILLATORITIMERS

24-Stage Frequency Divider CMOS MC14521B - 16 P,l D


Programmable Oscillator Timer CMOS MC14541B - 14 P,l D
Programmable Timer CMOS MC14536B - 16 P,l DW
Quad Precision Timer/Driver CMOS MC14415 - 16 P,l DW
PARITY CHECKERS

12--Bit Parity Generator/Checker ECl MC10H160 - 16 P,l FN


ECl MC10160 - 16 P,l FN
12-Bit Parity Generator/Checker, Register-Shiftable, Dill Output ECl MC10E160 MC100E160 28 FN
12--Bit Parity Tree CMOS MC14531B - 16 P D
9 + 2-Bit Parity Generator-Ghecker ECl MC10170 - 16 P,l FN
9--Bit Odd/Even Parity Generator/Checker CMOS MC74HC280 - 14 N D
TTL SN54lS280 SN74lS280 14 N,J D
9--Bit Parity Generator/Checker TTL MC74F280 - 14 N D
Error Detection and Correction Circuit ECl MC10E193 MC100E193 28 FN
PHASE-LOCKED LOOP

IPhase-Locked loop ICMOS IMC14046B 16 P,l DW


PRESCALERS
1.1 GHz +10/20/40/80 Prescaler ECl MC12080 - 8 P D
1 .1 GHz +1261128, +254/256 low Power Dual Modulus Prescaler ECl MC12058 - 8 D,SD
1.1 GHz +127/128, +2551256 low Power Dual Modulus Prescaler ECl MC12038A - 8 P D
1.1 GHz +6/9, +16117 Dual Modulus Prescaler ECl MC12026A - 8 P D
ECl MC12026B - 8 P D
1 .1 GHz +2 low Power Prescaler With Stand-By Mode ECl MC12083 - 8 P D
1.1 GHz +21418 low Power Prescaler With Stand-By Mode ECl MC12093 - 8 P D,SD
1 .1 GHz +256 Prescaler ECl MC12074 - 8 P D
1.1 GHz +32133, 434/65 Dual Modulus Prescaler ECl MC12028A - 8 P D
1.1 GHz +32133, 434/65 Dual Modulus Prescaler ECl MC12028B - 8 P D
1.1 GHz 434 Prescaler ECl MC12073 - 8 P D
1.1 GHz +64165, +1281129 Dual Modulus Prescaler ECl MC12022A - 8 P D
ECl MC12022B - 8 P D
ECl MC12022SlA - 8 P D
ECl MC12022SlB - 8 P D
ECl MC12022TSA - 8 P D
ECl MC12022TSB - 8 P D
1.1 GHz +64165, +1281129 Dual Modulus Prescaler W~h Stand-By ECl MC12036A - 8 P D
Mode ECl MC12036B - 8 P D
1.1 GHz +64165, +1281129 low Voltage Dual Modulus Prescaler ECl MC12022lVA - 8 P D
ECl MC12022lVB - 8 P D

logic: Standard, Special and Programmable 3.1--34 Motorola Master Selection Guide
Selection by Function
Description Device(s)
PRESCALERS

1.1 GHz +64/65, +128/129 low Voltage Dual Modulus Prescaler ECl MC12022TVA - 8 P D
ECl MC12022TVB - 8 P D
1.1 GHz +64165, +128/129 Super low Power Dual Modulus ECl MC12052A - 8 D,SD
Prescaler
1.1 GHz +64/65, + 128/129 Super low Power Dual Modulus ECl MC12053A - 8 D,SD
Prescaler With Stand-By Mode
1.3GHz +64 Prescaler ECl MC12075 - 8 P D
1.3GHz +256 Prescaler ECl MC12076 - 8 P D
ECl MC12078 - 8 P D
2.0GHz +32/33, +64/65 Dual Modulus Prescaler ECl MC12034A - 8 P D
ECl MC12034B - 8 P D
2.0GHz +32/33, +64/65 low Voltage Dual Modulus Prescaler ECl MC12033A - 8 P D
ECl MC12033B - 8 P D
2.0GHz +64/65, +128/129 Dual Modulus Prescaler ECl MC12032A - 8 P D
ECl MC12032B - 8 P D
2.0GHz +64165, +1281129 low Voltage Dual Modulus Prescaler ECl MC12031A - 8 P D
ECl MC12031B - 8 P D
2.0GHz +64/65, +128/129 Super low Power Dual Modulus ECl MC12054A - 8 D,SD
Prescaler
2.5GHz +2, +4 low Power Prescaler With Satnd-By Mode ECl MC12095 - 8 D,SD
2.8GHz +64/128/256 Prescaler ECl MC12079 - 8 P D
ECl MC12089 - 8 P D
225MHz +20/21 Dual Modulus Prescaler ECl MC12019 - 8 P,l D
225M Hz +32/33 Dual Modulus Prescaler ECl MC12015 - 8 P,l D
225MHz +40/41 Dual Modulus Prescaler ECl MC12016 - 8 P,l D
225MHz +64 Prescaler ECl MC12023 - 8 P D
225MHz +64/65 Dual Modulus Prescaler ECl MC12017 - 8 P,l D
480MHz +5/6 Dual Modulus Prescaler ECl MC12009 - 16 P,l
520MHz + 128/129 Dual Modulus Prescaler ECl MC12018 - 8 P,l D
520MHz +64/65 Dual Modulus Prescaler ECl MC12025 - 8 P D
550MHz+10111 Dual Modulus Prescaler ECl MC12013 - 16 P,l
550MHz +8/9 Dual Modulus Prescaler ECl MC12011 - 16 P,l
750MHz +2 UHF Prescaler ECl MC12090 - 16 P,l
PROGRAMMABLE DELAY CHIPS

Programmable Delay Chip (Dig 80ps Anal. 1.6 Pslmv)


Programmable Delay Chip (Digitally Selectable 20ps Res)

1024-Bil Programmable Read Only Memory


32 X 8-Bit Programmable Read Only Memory

1024 X 1-Bit Random Access Memory


256 X 1-Bit Random Access Memory
RECEIVERS

Differential Receiver ECl MC10El16 MC100El16 8 D


High Speed Triple Line Receiver ECl MC10216 - 16 P,l FN
low-Voltage Quad Differential Line Receiver ECl MC100lVEL17 MC100EL17 20 DW
Quad Bus Receiver ECl MC10129 - 16 l

Motorola Master Selection Guide 3.1-35 logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Devlce(s) Pins I DIP I SM
RECEIVERS
Quad Line Receiver ECl MC10Hl15 - 16 P,l FN
ECl MC10115 - 16 P,l FN
ECl MC1692 - 16 l
Quint Differential Line Receiver ECl MC10El16 MC100El16 28 FN
ECl MC10E416 MC100E416 28 FN
Triple Line Receiver ECl MC10Hl16 - 16 P,l D,FN
ECl MC10114 - 16 P,l FN
ECl MC10116 - 16 P,l FN
REGISTERS

4 X 4 Multiport Register
Hex Parallel D Register With Enable
REGISTER FILES

16 X 4-Bit Register File (RAM) ECl MC10H145 - 16 P,l FN


4 X 4 Register File Open Collector TTL SN54lS170 SN74lS170 16 N,J D
4 X 4 Register File With 3-State Outputs TTL SN54lS670 SN74lS670 16 N,J D
64-Bit Register File (RAM) ECl MCM10145 - 16 l
8 X 2 Multiport Register File (RAM) ECl MCM10143 - 24 l
SCHMITT TRIGGERS

Dual 4-lnput NAND Schmitt Trigger TTL MC74F13 - 14 N D


TTL SN54lS13 SN74lS13 14 N,J D
Dual Schmitt Trigger CMOS MC14583B - 16 P D
Hex Inverter Schmitt Trigger CMOS MC74AC14 - 14 N D
CMOS MC74ACT14 - 14 N D
TTL MC74F14 - 14 N D
TTL SN54lS14 SN74lS14 14 N,J D
Hex Schmitt Trigger CMOS MC14106B - 14 P,l D
CMOS MC14584B .- 14 P,l D
Hex Schmitt Trigger Inverter CMOS MC54HC14A MC74HC14A 14 N,J D,DT
CMOS MC54HCT14A MC74HCT14A 14 N,J D
Quad 2-lnput NAND Gate With Schmitt Trigger Inputs CMOS MC54HC132A MC74HC132A 14 N,J D
Quad 2-lnput NAND Schmitt Trigger CMOS MC74AC132 - 14 N D
CMOS MC74ACT132 - 14 N D
TTL MC74F132 - 14 N D
CMOS MC14093B - 14 P,l D
Quad 2-lnput Schmitt Trigger NAND Gate TTL SN54lS132 SN74lS132 14 N,J D
SCSI BUS TERMINATORS

18-Bit Active SCSI Bus Terminator CMOS MCCS142235 - 24,32 DW,*F


('Also Available in 32-Pin QFP Package) A
9-Bit Switchable SCSI Bus Term (1100: Active) CMOS MCCS142234 - 16 D
9-Bit Switchable SCSI Bus Term (2200 & 3300: Passive) CMOS MCCS142233 - 20 FN
9-Bit Switchable Active SCSI-2 Bus Term (1100) with Volt Reg CMOS MCCS142237 - 16,20 DW,
DT
SHIFT REGISTERS

l-t0-64-Bit Variable length Shift Register CMOS MC14557B - 16 P,l DW


128-Bit Static Shift Register CMOS MC14562B - 14 P,l
18-Bit Static Shift Register CMOS MC14006B - 14 P,l D
3-Bit Scannable Registered Address Driver, ECl ECl MC10E212 MC100E212 28 FN

logic: Standard, Special and Programmable 3.1-36 Motorola Master Selection Guide
Selection by Function
Description Tech. Device(s) Pins I DIP I SM
SHIFT REGISTERS

4-Bit Bidirectional Universal Shift Register CMOS MC74AC194 - 16 N D


CMOS MC74ACT194 - 16 N D
TTL MC74F194 - 16 N D
CMOS MC74HC194 - 16 N
TTL SN54LS194A SN74LS194A 16 N,J D
4-Bit Shift Register TTL MC74F195 - 16 N D
TTL SN54LS95B SN74LS95B 14 N,J D
CMOS MC14035B - 16 P,L D
4-Bit Shift Register With 3-State Outputs TTL SN74LS395
-- 16 N,J D
4-Bit Shifter With 3-State CMOS MC74AC350 - 16 N D

~~~-
CMOS MC74ACT350 - 16 N D
4-Bit Shifter, With 3-State Outputs TTL MC74F350 - 16 N D
-- -
4-Bit Universal Shift Register CMOS MC74HC195
_.- 16 N
ECL MC10H141 - 16 P,L FN
ECL MC10141 - 16 P,L FN
CMOS MC14194B
.- 16 P,L D
8-Bit Bidirectional Universal Shift Register With parallel 1/0 CMOS MC74HC299 - 20 N DW
B-Bit Parallel-to-Serial Shift Register TTL SN54LS165 SN74LS165 16 N,J D
8-Bit Scannable Register ECL MC10E241 MC100E241 28 FN
8-Bit Serial In-Serial Out Shift Register TTL MC74F164 - 14 N D
8-Bit Serial or Paraliel-lnpuVSerial-Output Shift Register CMOS MC54HC165 MC74HC165 16 N,J D
B-Bit Serial or Paraliel-lnpuVSerial-Output Shift Register With CMOS MC54HC589 MC74HC589 16 N,J D
3-State Outputs
B-Bit Serial or Paraliel-lnpuVSerial-Output Shift Register With CMOS MC54HC597 MC74HC597 16 N,J D
Input Latch
B-Bit Serial-ln/Paraliel-Out Shift Register TTL SN54LS164 SN74LS164 14 N,J D
B-Bit Serial-lnpuVParaliel-Output Shift Register CMOS MC54HC164 MC74HC164 14 N,J D
8-Bit Serial-lnpuVSerial or Parallel-Output Shift Register With CMOS MC54HC595A MC74HC595A 16 N,J D,DT
Latched 3-State Outputs
B-Bit Shift Register E~L MC10E141 MC100E141 2B FN
TTL SN54LS166 SN74LS166 16 N,J D
8-Bit Shift Registers With Sign Extend TTL SN54LS322A SN74LS322A 20 N,J DW
B-Bit Shift/Storage Register With 3-State Outputs TTL SN54LS299 SN74LS299 20 N,J DW
TTL SN54LS323 SN74LS323 20 N,J DW
8-Bit Static Shift Register CMOS MC14014B - 16 P,L D
CMOS MC14021B - 16 P,L D
B-Input Shift/Storage Register WISynchronous Reset and Common TTL MC74F323 - 20 N DW
1/0 Pins
8-lnput Universal Shift/Storage Register With Common Parallel 1/0 CMOS MC74AC299 - 20 N DW
Pins: With 3-State Outputs - N DW
CMOS MC74ACT299 20
B-Input Universal Shift/Storage Register With Syn ReseVCommon CMOS MC74AC323 - 20 N DW
Parallel 1/0 Pins: With 3-State Outputs
CMOS MC74ACT323 - 20 N DW
8-lnput Universal Shift/Storage Register, W/Common Parallel 1/0 TTL MC74F299 - 20 N DW
Pins
B-Stage Shift/Store Register With 3-State Outputs CMOS MC14094B - 16 P,L D
9-Bit Shift Register, 700MHz, With Asynchronous Master Reset ECL MC10E142 MC100E142 2B FN
Dual 5-Bit Shift Register CMOS MC14015B - 16 P,L D
Dual 64-Bit Static Shift Register CMOS MC14517B - 16 P DW

Motorola Master Selection Guide 3.1-37 Logic: Standard, Special and Programmable
Selection by Function
I Description Tech. Device(s) Pins I DIP I SM
SHIFT REGISTERS

Successive Approximation Register CMOS MC14549B - 16 P,l DW


CMOS MC14559B - 16 P,l DW
Universal 4-Bit Shift Register TTL SN54lS195A SN74lS195A 16 N,J D
SYNTHESIZERS

1.1 GHz Serial Input Synthesizer With +64/65, + 128/129 Prescaler ECl MC12202 - 16,20 D,M,
DT
2.0GHz Serial Input Synthesizer With +64/65, +1281129 Prescaler ECl MC12206 - 16,20 D,DT
2.5GHz Serial Input Synthesizer With +32133, +64/65 Prescaler ECl MC12210 - 16,20 D,DT
2.7GHz Frequency Synthesizer ECl MC12179 - 8 D
TRANSCEIVERS

4-Bit Differential ECl BusITTl Bus Transceiver ECl MC10H680 MC100H680 28 FN


ECLlTTl Inverting Bidirectional Transceivers With latch (4-Bit) ECl MC10804 - 16 l
ECLlTTl Inverting Bidirectional Transceivers With latch (5-Bit) ECl MC10805 - 20 l
Hex ECLlTTl Transceiver With latches ECl MC10H681 MC100H681 28 FN
low-Voltage CMOS Octal Transceiver, 3-State, Non-Inverting CMOS MC74lCX245 - 20 M,DW,
With 5V Tolerant Inputs and Outputs DT
low-Voltage Quiet CMOS Octal Transceiver, 3-State, CMOS MC74lVQ245 - 20 M,DW,
Non-Inverting SD,DT
low-Voltage Quiet CMOS Octal Transceiver/Registered CMOS MC74LVQ646 - 24 DW,
Transceiver SD,DT
low-Voltage Quiet CMOS Octal Transceiver/Registered CMOS MC74lVQ652 - 24 DW,
Transceiver SD,DT
Octal Bus Transceiver/Inverting With Open Collector TTL SN54lS642 SN74lS642 20 N,J DW
Octal Bus Transceiver/Non-Inverting With Open Collector TTL SN54lS641 SN74lS641 20 N,J DW
Quad Futurebus Backplane Transceiver, With 3-State Outputs and TTL MC74F3893A - 20 FN
Open Collector
TRANSLATORS

9-Bit ECLlTTl Translator ECl MC10H601 MC100H601 28 FN


9-Bit latch ECLlTTl Translator ECl MC10H603 MC100H603 28 FN
9-Bit Latch TTUECl Translator ECl MC10H602 MC100H602 28 FN
9-Bit TTUECl Translator ECl MC10H600 MC100H600 28 FN
Differential ECLlTTl Translator ECl MC10ElT25 MC100ElT25 8 D
Differential PECLlTTl Translator ECl MC10ElT21 MC100ElT21 8 D
Dual Differential PECLlTTl Translator ECl MC100ElT23 - 8 D
Dual TTUDifferential PECl Translator ECl MC10ElT22 MC100ElT22 8 D
ECLlTTl Translator (Single P.S. @+ 5.0V) ECl MC10H350 - 16 P,l FN
Hex ECUMST Translator ECl MC10191 - 16 P,l
Hex TTL OR CMOS/CMOS Hex level Shifter CMOS MC14504B - 16 P,l D
Quad CMOS/ECl Translator (Single P.S. @+ 5.0V) ECl MC10H352 - 20 P,l FN
Quad MECLlTTl Translator ECl MC10H125 - 16 P,l FN
ECl MC10125 - 16 P,l FN
Quad MST/ECl Translator ECl MC10190 - 16 P
Quad TTUECl Translator (ECl Strobe) ECl MC10H424 - 16 P,l FN
Quad TTUMECl Translator ECl MC10124 - 16 P,l FN
Quad TTUMECl Translator, With TTL Strobe Input ECl MC10H124 - 16 P,l FN
Quad TTUNM08-to-PECL Translator (Single P.S. @+ 5.0V) ECl MC10H351 - 20 P,L FN
Registered Hex ECLlTTl Translator ECl MC10H605 MC100H605 28 FN
Registered Hex PECLlTTl Translator ECl MC10H607 MC100H607 28 FN
Registered Hex TTUECl Translator ECl MC10H604 MC100H604 28 FN

logic: Standard, Special and Programmable 3.1-38 Motorola Master Selection Guide
Selection by Function
Description Device(s)
TRANSLATORS

Registered Hex TIUPECl Translator ECl MC10H606 MC100H606 28 FN


Triple MECUNMOS Translator ECl MC10177 - 16 l
Triple ECl to PECl Translator ECl MC100lVEl90 MC100El90 20 DW
Triple PECl to lVPECl Translator ECl MC100lVEl92 - 20 DW
TTUDifferential ECl Translator ECl MC10ElT24 MC100ElT24 8 D
TIUDifferential PECl Translator ECl MC10ElT20 MC100ElT20 8 D
TIL to Differential PECUDifferential PECl to TIL Translator ECl MC10ElT28 MC100ElT28 8 D
veo
Phase-locked-loop With VCO CMOS MC74HC4046A - 16 N D
low Power Voltage Controlled Oscillator Buffer CMOS MC12147 - 8 D,SD
low Power Voltage Controlled Oscillator Buffer CMOS MC12149 - 8 D,SD

Motorola Master Selection Guide 3,1-39 logic: Standard, Special and Programmable
Device Index
MC100E016 3.1-19 MC100EL12 3.1-29 MC100LVEL14 3.1-17
MC100E101 3.1-27 MC100EL13 3.1-14 MC100LVEL17 3.1-35
MC100E104 3.1-27 MC100EL14 3.1-17 MC100LVEL29 3.1-23
MC100E107 3.1-27 MC100EL15 3.1-17 MC100LVEL30 3.1-25
MC100E111 3.1-17 MC100EL16 3.1-35 MC100LVEL38 3.1-18
MC100E112 3.1-30 MC100EL17 3.1-35 MC100LVEL39 3.1-18
MC100E116 3.1-36 MC100EL29 3.1-23 MC100LVEL56 3.1-32
MC100E122 3.1-29 MC100EL30 3.1-25 MC100LVEL59 3.1-33
MC100E131 3.1-23 MC100EL31 3.1-23 MC100LVEL90 3.1-39
MC100E136 3.1-19 MC100EL32 3.1-22 MC100LVEL92 3.1-39
MC100E137 3.1-19 MC100EL33 3.1-22 MC100SX1230 3.1-22
MC100E141 3.1-37 MC100EL34 3.1-18 MC10100 3.1-28
MC100E142 3.1-37 MC100EL35 3.1-24 MC10101 3.1-27
MC100E143 3.1-23 MC100EL38 3.1-18 MC10102 3.1-28
MC100E150 3.1--30 MC100EL39 3.1-18 MC10103 3.1-29
MC100E151 3.1-23 MC100EL51 3.1-23 MC10104 3.1-26
MC100E154 3.1-30 MC100EL52 3.1-23 MC10105 3.1-27
MC100E155 3.1-30 MC100EL56 3.1-32 MC10106 3.1-28
MC100E156 3.1-30 MC100EL57 3.1-31 MC10107 3.1-27
MC100E157 3.1-33 MC100EL58 3.1--31 MC10109 3.1-27
MC100E158 3.1-31 MC100EL59 3.1-33 MC10110 3.1-28
MC100E160 3.1-34 MC100EL90 3.1--39 MC10111 3.1-28
MC100E163 3.1-31 MC100ELT20 3.1-39 MC10113 3.1-28
MC100E164 3.1-31 MC100ELT21 3.1-38 MC10114 3.1-36
MC100E166 3.1-18 MC100ELT22 3.1-38 MC10115 3.1--36
MC100E167 3.1-23 MC100ELT23 3.1-38 MC10116 3.1-36
MC100E171 3.1-31 MC100ELT24 3.1--39 MC10117 3.1-27
MC100E175 3.1-30 MC100ELT25 3.1-38 MC10118 3.1-27
MC100E193 3.1-34 MC100ELT28 3.1-39 MC10119 3.1-27
MC100E195 3.1-35 MC100H600 3.1-38 MC10121 3.1-27
MC100E196 3.1-35 MC100H601 3.1-38 MC10123 3.1-17
MC100E210 3.1-14 MC100H602 3.1-38 MC10124 3.1-38
MC100E211 3.1-17 MC100H603 3.1-38 MC10125 3.1-38
MC100E212 3.1-36 MC100H604 3.1-38 MC10128 3.1-15
MC100E241 3.1-37 MC100H605 3.1-38 MC10129 3.1-35
MC100E256 3.1-30 MC100H606 3.1-39 MC10130 3.1-30
MC100E310 3.1-14 MC100H607 3.1-38 MC10131 3.1-24
MC100E336 3.1-14 MC100H640 3.1-17 MC10132 3.1-32
MC100E337 3.1-15 MC100H641 3.1-18 MC10133 3.1-31
MC100E404 3.1-27 MC100H642 3.1-17 MC10134 3.1-32
MC100E416 3.1-36 MC100H643 3.1-18 MC10135 3.1-23
MC100E431 3.1-23 MC100H644 3.1-17 MC10136 3.1-21
MC100E445 3.1-19 MC100H646 3.1-18 MC10137 3.1-20
MC100E446 3.1-19 MC100H660 3.1-31 MC10138 3.1-19
MC100E451 3.1-23 MC100H680 3.1-38 MC10141 3.1-37
MC100E452 3.1-23 MC100H681 3.1-38 MC10153 3.1-31
MC100E457 3.1-33 MC100LVE111 3.1-17 MC10154 3.1-19
MC100EL01 3.1-27 MC100LVE164 3.1--32 MC10158 3.1-32
MC100EL04 3.1-27 MC100LVE210 3.1-14 MC10159 3.1--32
MC100EL05 3.1-27 MC100LVE310 3.1-14 MC10160 3.1-34
MC100EL07 3.1-27 MC100LVEL11 3.1-14 MC10161 3.1-21
MC100EL11 3.1-17 MC100LVEL13 3.1-14 MC10162 3.1-21

Logic: Standard, Special and Programmable 3.1-40 Motorola Master Selection Guide
Device Index
MC10163 3.1-22 MC10E157 3.1-33 MC10ELT25 3.1-38
MC10164 3.1-32 MC10E158 3.1-31 MC10ELT28 3.1-39
MC10165 3.1-22 MC10E160 3.1-34 MC10Hl00 3.1-28
MC10166 3.1-18 MC10E163 3.1-31 MC10Hl0l 3.1-27
MC10168 3.1-31 MC10E164 3.1-31 MC10Hl02 3.1-28
MC10170 3.1-34 MC10E1651 3.1-18 MC10Hl03 3.1-29
MC10171 3.1-21 MC10E1652 3.1-18 MC10Hl04 3.1-26
MC10172 3.1-21 MC10E166 3.1-18 MC10Hl05 3.1-27
MC10173 3.1-33 MC10E167 3.1-23 MC10Hl06 3.1-28
MC10174 3.1-32 MC10E171 3.1-31 MC10Hl07 3.1-27
MC10175 3.1-31 MC10E175 3.1-30 MC10H109 3.1-27
MC10176 3.1-24 MC10E193 3.1-34 MC10H113 3.1-28
MC10177 3.1-39 MC10E195 3.1-35 MC10Hl15 3.1-36
MC10178 3.1-19 MC10E196 3.1-35 MC10H116 3.1-36
MC10180 3.1-14 MC10E197 3.1-31 MC10H117 3.1-27
MC10181 3.1-14 MC10E211 3.1-17 MC10Hl18 3.1-27
MC10186 3.1-24 MC10E212 3.1-36 MC10Hl19 3.1-27
MC10188 3.1-29 MC10E241 3.1-37 MC10H121 3.1-27
MC10189 3.1-29 MC10E256 3.1-30 MC10H123 3.1-17
MC10190 3.1-38 MC10E336 3.1-14 MC10H124 3.1-38
MC10191 3.1-38 MC10E337 3.1-15 MC10H125 3.1-38
MC10192 3.1-17 MC10E404 3.1-27 MC10H130 3.1-30
MC10193 3.1-22 MC10E411 3.1-17 MC10H131 3.1-24
MC10195 3.1-29 MC10E416 3.1-36 MC10H135 3.1-23
MC10197 3.1-25 MC10E431 3.1-23 MC10H136 3.1-21
MC10198 3.1-33 MC10E445 3.1-19 MC10H141 3.1-37
MC10210 3.1-28 MC10E446 3.1-19 MC10H145 3.1-36
MC10211 3.1-28 MC10E451 3.1-23 MC10H158 3.1-33
MC10212 3.1-27 MC10E452 3.1-23 MC10H159 3.1-33
MC10216 3.1-35 MC10E457 3.1-33 MC10H16 3.1-19
MC10231 3.1-24 MC10ELOl 3.1-27 MC10H160 3.1-34
MC10804 3.1-38 MC10EL04 3.1-27 MC10H161 3.1-21
MC10805 3.1-38 MC10EL05 3.1-27 MC10H162 3.1-21
MC10E016 3.1-19 MC10EL07 3.1-27 MC10H164 3.1-32
MC10El0l 3.1-27 MC10EL11 3.1-17 MC10H165 3.1-22
MC10El04 3.1-27 MC10EL12 3.1-29 MC10H166 3.1-18
MC10El07 3.1-27 MC10EL15 3.1-17 MC10H171 3.1-21
MC10E111 3.1-17 MC10EL16 3.1-35 MC10H172 3.1-21
MC10El12 3.1-30 MC10EL31 3.1-23 MC10H173 3.1-32
MC10El16 3.1-36 MC10EL32 3.1-22 MC10H174 3.1-32
MC10E122 3.1-29 MC10EL33 3.1-22 MC10H175 3.1-31
MC10E131 3.1-23 MC10EL34 3.1-18 MC10H176 3.1-24
MC10E136 3.1-19 MC10EL35 3.1-24 MC10H179 3.1-14
MC10E137 3.1-19 MC10EL51 3.1-23 MC10H180 3.1-14
MC10E141 3.1-37 MC10EL52 3.1-23 MC10H181 3.1-14
MC10E142 3.1-37 MC10EL57 3.1-31 MC10H186 3.1-24
MC10E143 3.1-23 MC10EL58 3.1-31 MC10H188 3.1-29
MC10E150 3.1-30 MC10EL89 3.1-22 MC10H189 3.1-29
MC10E151 3.1-23 MC10ELT20 3.1-39 MC10H209 3.1-27
MC10E154 3.1-30 MC10ELT21 3.1-38 MC10H210 3.1-28
MC10E155 3.1-30 MC10ELT22 3.1-38 MC10H211 3.1-28
MC10E156 3.1-30 MC10ELT24 3.1-39 MC10H330 3.1-17

Motorola Master Selection Guide 3.1-41 Logic: Standard, Special and Programmable
Device Index
MC10H332 3.1-15 MC12028A 3.1-34 MC14012B 3.1-25
MC10H334 3.1-17 MC12028B 3.1-34 MC14012UB 3.1-25
MC10H350 3.1-38 MC12031A 3.1-35 MC14013B 3.1-23
MC10H351 3.1-38 MC12031B 3.1-35 MC14014B 3.1-37
MC10H352 3.1-38 MC12032A 3.1-35 MC14015B 3.1-37
MC10H423 3.1-17 MC12032B 3.1-35 MC14016B 3.1-33
MC10H424 3.1-38 MC12033A 3.1-35 MC14017B 3.1-20
MC10H600 3.1-38 MC12033B 3.1-35 MC14018B 3.1-20
MC10H601 3.1-38 MC12034A 3.1-35 MC14020B 3.1-19
MC10H602 3.1-38 MC12034B 3.1-35 MC14021B 3.1-37
MC10H603 3.1-38 MC12036A 3.1-34 MC14022B 3.1-20
MC10H604 3.1-38 MC12036B 3.1-34 MC14023B 3.1-26
MC10H605 3.1-38 MC12038A 3.1-34 MC14023UB 3.1-26
MC10H606 3.1-39 MC12040 3.1-22 MC14024B 3.1-19
MC10H607 3.1-38 MC12052A 3.1-35 MC14025B 3.1-28
MC10H640 3.1-17 MC12053A 3.1-35 MC14025UB 3.1-28
MC10H641 3.1-18 MC12054A 3.1-35 MC14027B 3.1-23
MC10H642 3.1-17 MC12058 3.1-34 MC14028B 3.1-21
MC10H643 3.1-18 MC12061 3.1-34 MC14029B 3.1-20
MC10H644 3.1-17 MC12073 3.1-34 MC14035B 3.1-37
MC10H645 3.1-17 MC12074 3.1-34 MC14038B 3.1-14
MC10H646 3.1-18 MC12075 3.1-35 MC14040B 3.1-19
MC10H660 3.1-31 MC12076 3.1-35 MC14042B 3.1-31
MC10H680 3.1-38 MC12078 3.1-35 MC14043B 3.1-31
MC10H681 3.1-38 MC12079 3.1-35 MC14044B 3.1-31
MC10SX1130 3.1-18 MC12080 3.1-34 MC14046B 3.1-34
MClOSX1130 3.1-22 MC12083 3.1-34 MC14049B 3.1-29
MC10SX1189 3.1-18 MC12089 3.1-35 MC14049UB 3.1-29
MC12002 3.1-21 MC12090 3.1-35 MC14050B 3.1-29
MC12009 3.1-35 MC12093 3.1-34 MC14051B 3.1-31
MC12011 3.1-35 MC12095 3.1-35 MC14052B 3.1-32
MC12013 3.1-35 MC12100 3.1-33 MC14053B 3.1-33
MC12014 3.1-20 MC12101 3.1-33 MC14060B 3.1-19
MC12015 3.1-35 MC12147 3.1-39 MC14066B 3.1-33
MC12016 3.1-35 MC12148 3.1-34 MC14067B 3.1-31
MC12017 3.1-35 MC12149 3.1-39 MC14068B 3.1-25
MC12018 3.1-35 MC12179 3.1-38 MC14069UB 3.1-29
MC12019 3.1-35 MC12202 3.1-38 MC14070B 3.1-28
MC12022A 3.1-34 MC12206 3.1-38 MC14071B 3.1-29
MC12022B 3.1-34 MC12210 3.1-38 MC14072B 3.1-28
MC12022LVA 3.1-34 MC12429 3.1-18 MC14073B 3.1-26
MC12022LVB 3.1-34 MC12439 3.1-18 MC14075B 3.1-29
MC12022SLA 3.1-34 MC14000UB 3.1-28 MC14076B 3.1-25
MC12022SLB 3.1-34 MC14001B 3.1-28 MC14077B 3.1-27
MC12022TSA 3.1-34 MC14001UB 3.1-28 MC14078B 3.1-28
MC12022TSB 3.1-34 MC14002B 3.1-28 MC14081B 3.1-26
MC12022TVA 3.1-35 MC14002UB 3.1-28 MC14082B 3.1-25
MC12022TVB 3.1-35 MC14006B 3.1-36 MC14093B 3.1-36
MC12023 3.1-35 MC14007UB 3.1-29 MC14094B 3.1-37
MC12025 3.1-35 MC14008B 3.1-14 MC14099B 3.1-30
MC12026A 3.1-34 MC14011B 3.1-26 MC14106B 3.1-36
MC12026B 3.1-34 MC14011UB 3.1-26 MC14161B 3.1-19

Logic: Standard, Special and Programmable 3.1-42 Motorola Master Selection Guide
Device Index
MC14163B 3.1-19 MC14566B 3.1-20 MC54HC27 3.1-28
MC14174B 3.1-24 MC14568B 3.1-20 MC54HC273A 3.1-24
MC14175B 3.1-25 MC14569B 3.1-20 MC54HC32A 3.1-29
MC14194B 3.1-37 MC14572UB 3.1-27 MC54HC354 3.1-32
MC14415 3.1-34 MC14580B 3.1-36 MC54HC365 3.1-15
MC14490 3.1-14 MC14583B 3.1-36 MC54HC366 3.1-15
MC14500B 3.1-29 MC14584B 3.1-36 MC54HC367 3.1-15
MC14501UB 3.1-27 MC14585B 3.1-18 MC54HC373A 3.1-31
MC14502B 3.1-30 MC14598B 3.1-30 MC54HC374A 3.1-25
MC14503B 3.1-15 MC14599B 3.1-30 MC54HC390 3.1-20
MC14504B 3.1-38 MC1648 3.1-34 MC54HC393 3.1-20
MC14506UB 3.1-27 MC1650 3.1-19 MC54HC4016 3.1-33
MC14508B 3.1-30 MC1651 3.1-19 MC54HC4040A 3.1-19
MC14510B 3.1-20 MC1658 3.1-34 MC54HC4049 3.1-29
MC14511B 3.1-22 MC1660 3.1-27 MC54HC4050 3.1-29
MC14512B 3.1-31 MC1662 3.1-28 MC54HC4051 3.1-31
MC14513B 3.1-22 MC1670 3.1-24 MC54HC4053 3.1-33
MC14514B 3.1-21 MC1672 3.1-28 MC54HC4060 3.1-19
MC14515B 3.1-21 MC1692 3.1-36 MC54HC4060A 3.1-19
MC14516B 3.1-20 MC4016 3.1-20 MC54HC4066 3.1-33
MC14517B 3.1-37 MC4018 3.1-20 MC54HC4351 3.1-31
MC14518B 3.1-20 MC4024 3.1-33 MC54HC4353 3.1-33
MC14519B 3.1-27 MC4044 3.1-21 MC54HC4538A 3.1-33
MC14520B 3.1-20 MC4316 3.1-20 MC54HC533A 3.1-31
MC14521B 3.1-34 MC4324 3.1-34 MC54HC534A 3.1-24
MC14522B 3.1-20 MC4344 3.1-21 MC54HC540A 3.1-16
MC14526B 3.1-20 MC54HCOOA 3.1-26 MC54HC541A 3.1-16
MC14527B 3.1-14 MC54HC02A 3.1-28 MC54HC563 3.1-31
MC14528B 3.1-33 MC54HC04A 3.1-29 MC54HC573A 3.1-31
MC14529B 3.1-32 MC54HC08A 3.1-26 MC54HC574A 3.1-25
MC14530B 3.1-27 MC54HC132A 3.1-36 MC54HC589 3.1-37
MC14531B 3.1-34 MC54HC138A 3.1-21 MC54HC595A 3.1-37
MC14532B 3.1-22 MC54HC139A 3.1-21 MC54HC597 3.1-37
MC14534B 3.1-19 MC54HC14A 3.1-36 MC54HC640A 3.1-16
MC14536B 3.1-34 MC54HC154 3.1-21 MC54HC646 3.1-16
MC14538B 3.1-33 MC54HC157A 3.1-32 MC54HC688 3.1-18
MC14539B 3.1-32 MC54HC158 3.1-32 MC54HC74A 3.1-23
MC14541B 3.1-34 MC54HC160 3.1-20 MC54HC86 3.1-28
MC14543B 3.1-22 MC54HC161A 3.1-20 MC54HCTOOA 3.1-26
MC14544B 3.1-22 MC54HC162 3.1-20 MC54HCT08A 3.1-26
MC14547B 3.1-22 MC54HC163A 3.1-20 MC54HCT14A 3.1-36
MC14549B 3.1-38 MC54HCl64 3.1-37 MC54HCT161A 3.1-20
MC14551B 3.1-32 MC54HC165 3.1-37 MC54HCT163A 3.1-20
MC14553B 3.1-19 MC54HC174A 3.1-24 MC54HCT241A 3.1-16
MC14555B 3.1-21 MC54HC175 3.1-25 MC54HCT244A 3.1-16
MC14556B 3.1-21 MC54HC175A 3.1-25 MC54HCT245A 3.1-15
MC14557B 3.1-36 MC54HC240A 3.1-16 MC54HCT32A 3.1-29
MC14558B 3.1-22 MC54HC241A 3.1-16 MC54HCT373A 3.1-30
MC14559B 3.1-38 MC54HC244A 3.1-16 MC54HCT374A 3.1-24
MC14560B 3.1-14 MC54HC245A 3.1-16 MC54HCT574A 3.1-25
MC14561B 3.1-14 MC54HC251 3.1-31 MC660 3.1-22
MC14562B 3.1-36 MC54HC259 3.1-21 MC661 3.1-22

Motorola Master Selection Guide 3.1-43 Logic: Standard, Special and Programmable
Device Index
MC662 3.1-22 MC74AC259 3.1-30 MC74ACT160 3.1-20
MC663 3.1-23 MC74AC273 3.1-24 MC74ACT161 3.1-20
MC664 3.1-24 MC74AC299 3.1-37 MC74ACT162 3.1-20
MC667 3.1-33 MC74AC32 3.1-29 MC74ACT163 3.1-20
MC668 3.1-30 MC74AC323 3.1-37 MC74ACT174 3.1-24
MC669 3.1-22 MC74AC350 3.1-37 MC74ACT175 3.1-25
MC670 3.1-30 MC74AC352 3.1-32 MC74ACT194 3.1-37
MC671 3.1-30 MC74AC353 3.1-32 MC74ACT20 3.1-25
MC672 3.1-30 MC74AC373 3.1-31 MC74ACT240 3.1-16
MC677 3.1-29 MC74AC374 3.1-24 MC74ACT241 3.1-16
MC678 3.1-29 MC74AC377 3.1-24 MC74ACT244 3.1-16
MC68150'33 3.1-15 MC74AC378 3.1-23 MC74ACT245 3.1-15
MC68150'40 3.1-15 MC74AC4020 3.1-19 MC74ACT251 3.1-32
MC68194 3.1-17 MC74AC4040 3.1-19 MC74ACT253 3.1-32
MC74ACOO 3.1-26 MC74AC533 3.1-31 MC74ACT256 3.1-30
MC74AC02 3.1-28 MC74AC534 3.1-25 MC74ACT257 3.1-33
MC74AC04 3.1-29 MC74AC540 3.1-16 MC74ACT258 3.1-33
MC74AC05 3.1-29 MC74AC541 3.1-16 MC74ACT259 3.1-30
MC74AC08 3.1-26 MC74AC563 3.1-30 MC74ACT273 3.1-24
MC74AC10 3.1-26 MC74AC564 3.1-25 MC74ACT299 3.1-37
MC74AC109 3.1-24 MC74AC573 3.1-30 MC74ACT32 3.1-29
MC74AC11 3.1-26 MC74AC574 3.1-25 MC74ACT323 3.1-37
MC74AC112 3.1-23 MC74AC620 3.1-15 MC74ACT350 3.1-37
MC74AC113 3.1-23 MC74AC623 3.1-15 MC74ACT352 3.1-32
MC74AC125 3.1-17 MC74AC640 3.1-15 MC74ACT353 3.1-32
MC74AC126 3.1-17 MC74AC643 3.1-15 MC74ACT373 3.1-31
MC74AC132 3.1-36 MC74AC646 3.1-16 MC74ACT374 3.1-25
MC74AC138 3.1-21 MC74AC648 3.1-16 MC74ACT377 3.1-24
MC74AC139 3.1-21 MC74AC652 3.1-16 MC74ACT378 3.1-23
MC74AC14 3.1-36 MC74AC74 3.1-23 MC74ACT521 3.1-18
MC74AC151 3.1-31 MC74AC810 3.1-27 MC74ACT533 3.1-31
MC74AC153 3.1-32 MC74AC86 3.1-27 MC74ACT534 3.1-25
MC74AC157 3.1-33 MC74ACTOO 3.1-26 MC74ACT540 3.1-16
MC74AC158 3.1-33 MC74ACT02 3.1-28 MC74ACT541 3.1-16
MC74AC160 3.1-20 MC74ACT04 3.1-29 MC74ACT563 3.1-30
MC74AC161 3.1-20 MC74ACT05 3.1-29 MC74ACT564 3.1-25
MC74AC162 3.1-20 MC74ACT08 3.1-26 MC74ACT573 3.1-31
MC74AC163 3.1-20 MC74ACT10 3.1-26 MC74ACT574 3.1-25
MC74AC174 3.1-24 MC74ACT109 3.1-24 MC74ACT620 3.1-15
MC74AC175 3.1-25 MC74ACT11 3.1-26 MC74ACT623 3.1-15
MC74AC190 3.1-21 MC74ACT112 3.1-23 MC74ACT640 3.1-15
MC74AC194 3.1-37 MC74ACT113 3.1-23 MC74ACT643 3.1-15
MC74AC20 3.1-25 MC74ACT125 3.1-17 MC74ACT646 3.1-16
MC74AC240 3.1-16 MC74ACT126 3.1-17 MC74ACT648 3.1-16
MC74AC241 3.1-16 MC74ACT132 3.1-36 MC74ACT652 3.1-16
MC74AC244 3.1-16 MC74ACT138 3.1-21 MC74ACT74 3.1-23
MC74AC245 3.1-15 MC74ACT139 3.1-21 MC74ACT810 3.1-27
MC74AC251 3.1-32 MC74ACT14 3.1-36 MC74ACT86 3.1-28
MC74AC253 3.1-32 MC74ACT151 3.1-31 MC74FOO 3.1-26
MC74AC256 3.1-30 MC74ACT153 3.1-32 MC74F02 3.1-28
MC74AC257 3.1-33 MC74ACT157 3.1-33 MC74F04 3.1-29
MC74AC258 3.1-33 MC74ACT158 3.1-33 MC74F08 3.1-26

Logic: Standard, Special and Programmable 3.1-44 Motorola Master Selection Guide
Device Index
MC74F10 3.1-26 MC74F352 3.1-32 MC74HC10 3.1-26
MC74F109 3.1-24 MC74F353 3.1-32 MC74HC107 3.1-23
MC74F11 3.1-26 MC74F365 3.1-15 MC74HC109 3.1-24
MC74Fl12 3.1-24 MC74F366 3.1-15 MC74HC11 3.1-26
MC74F1245 3.1-15 MC74F367 3.1-15 MC74HCl12 3.1-23
MC74F125 3.1-17 MC74F368 3.1-15 MC74HC125A 3.1-17
MC74F126 3.1-17 MC74F37 3.1-26 MC74HC126A 3.1-17
MC74F13 3.1-36 MC74F373 3.1-31 MC74HC132A 3.1-36
MC74F132 3.1-36 MC74F374 3.1-24 MC74HC133 3.1-25
MC74F138 3.1-21 MC74F377 3.1-24 MC74HC137 3.1-21
MC74F139 3.1-21 MC74F378 3.1-36 MC74HC138A 3.1-21
MC74F14 3.1-36 MC74F379 3.1-25 MC74HC139A 3.1-21
MC74F148 3.1-22 MC74F38 3.1-26 MC74HC147 3.1-22
MC74F151 3.1-31 MC74F381 3.1-14 MC74HC14A 3.1-36
MC74F153 3.1-32 MC74F382 3.1-14 MC74HC151 3.1-31
MC74F157A 3.1-32 MC74F3893A 3.1-38 MC74HC153 3.1-32
MC74F158A 3.1-32 MC74F398 3.1-33 MC74HC154 3.1-21
MC74F160A 3.1-19 MC74F399 3.1-33 MC74HC157A 3.1-32
MC74F161A 3.1-19 MC74F40 3.1-25 MC74HC158 3.1-32
MC74F162A 3.1-19 MC74F51 3.1-27 MC74HC160 3.1-20
MC74F163A 3.1-19 MC74F521 3.1-18 MC74HC161A 3.1-20
MC74F164 3.1-37 MC74F533 3.1-31 MC74HC162 3.1-20
MC74F168 3.1-19 MC74F534 3.1-25 MC74HC163 3.1-20
MC74F169 3.1-19 MC74F537 3.1-21 MC74HCl64 3.1-37
MC74F174 3.1-24 MC74F538 3.1-21 MC74HC165 3.1-37
MC74F175 3.1-25 MC74F539 3.1-21 MC74HC173 3.1-25
MC74F1803 3.1-17 MC74F543 3.1-16 MC74HC174A 3.1-24
MC74F181 3.1-14 MC74F544 3.1-16 MC74HC175 3.1-25
MC74F182 3.1-14 MC74F568 3.1-19 MC74HC175A 3.1-25
MC74F194 3.1-37 MC74F569 3.1-19 MC74HC194 3.1-37
MC74F195 3.1-37 MC74F574 3.1-24 MC74HC195 3.1-37
MC74F20 3.1-25 MC74F579 3.1-19 MC74HC20 3.1-25
MC74F21 3.1-25 MC74F620 3.1-16 MC74HC237 3.1-21
MC74F240 3.1-15 MC74F623 3.1-16 MC74HC240A 3.1-16
MC74F241 3.1-15 MC74F64 3.1-27 MC74HC241A 3.1-16
MC74F242 3.1-17 MC74F640 3.1-16 MC74HC242 3.1-17
MC74F243 3.1-17 MC74F646 3.1-16 MC74HC244A 3.1-16
MC74F244 3.1-15 MC74F657A 3.1-15 MC74HC245A 3.1-16
MC74F245 3.1-15 MC74F657B 3.1-15 MC74HC251 3.1-31
MC74F251 3.1-31 MC74F74 3.1-23 MC74HC253 3.1-32
MC74F253 3.1-32 MC74F779 3.1-19 MC74HC257 3.1-32
MC74F256 3.1-30 MC74F803 3.1-17 MC74HC259 3.1-21
MC74F257A 3.1-33 MC74F823 3.1-15 MC74HC27 3.1-28
MC74F258A 3.1-33 MC74F827 3.1-14 MC74HC273A 3.1-24
MC74F259 3.1-30 MC74F828 3.1-14 MC74HC280 3.1-34
MC74F269 3.1-19 MC74F85 3.1-18 MC74HC299 3.1-37
MC74F280 3.1-34 MC74F86 3.1-28 MC74HC30 3.1-25
MC74F283 3.1-14 MC74HCOOA 3.1-26 MC74HC32A 3.1-29
MC74F299 3.1-37 MC74HC02A 3.1-28 MC74HC354 3.1-32
MC74F32 3.1-29 MC74HC03A 3.1-26 MC74HC365 3.1-15
MC74F323 3.1-37 MC74HC04A 3.1-29 MC74HC366 3.1-15
MC74F350 3.1-37 MC74HC08A 3.1-26 MC74HC367 3.1-15

Motorola Master Selection Guide 3.1-45 Logic: Standard, Special and Programmable
Device Index
MC74HC368 3.1-15 MC74HCTOOA 3.1-26 MC836 3.1-29
MC74HC373A 3.1-31 MC74HCT04A 3.1-29 MC837 3.1-29
MC74HC374A 3.1-25 MC74HCT08A 3.1-26 MC840 3.1-29
MC74HC390 3.1-20 MC74HCT138A 3.1-21 MC844 3.1-22
MC74HC393 3.1-20 MC74HCT14A 3.1-36 MC845 3.1-23
MC74HC4002 3.1-28 MC74HCT157A 3.1-32 MC846 3.1-26
MC74HC4016 3.1-33 MC74HCT161A 3.1-20 MC849 3.1-26
MC74HC4017 3.1-20 MC74HCT163A 3.1-20 MC88913 3.1-18
MC74HC4020A 3.1-19 MC74HCT174A 3.1-24 MC88914 3.1-18
MC74HC4024 3.1-34 MC74HCT240A 3.1-16 MC88915*55 3.1-18
MC74HC4040A 3.1-19 MC74HCT241A 3.1-16 MC88915*70 3.1-18
MC74HC4046A 3.1-39 MC74HCT244A 3.1-16 MC88915T*100 3.1-18
MC74HC4049 3.1-29 MC74HCT245A 3.1-15 MC88915T*133 3.1-18
MC74HC4050 3.1-29 MC74HCT273A 3.1-24 MC88915T*160 3.1-18
MC74HC4051 3.1-31 MC74HCT32A 3.1-29 MC88915T*55 3.1-18
MC74HC4052 3.1-32 MC74HCT373A 3.1-30 MC88915T*70 3.1-18
MC74HC4053 3.1-33 MC74HCT374A 3.1-24 MC88916*70 3.1-18
MC74HC4060 3.1-19 MC74HCT541A 3.1-16 MC88916*80 3.1-18
MC74HC4060A 3.1-19 MC74HCT573A 3.1-31 MC88920 3.1-17
MC74HC4066 3.1-33 MC74HCT574A 3.1-25 MC88921 3.1-17
MC74HC4075 3.1-29 MC74HCT74A 3.1-23 MC88LV926 3.1-18
MC74HC4078 3.1-27 MC74HCU04 3.1-29 MC88PL117 3.1-17
MC74HC42 3.1-21 MC74LCXOO 3.1-26 MC936 3.1-29
MC74HC4316 3.1-33 MC74LCX02 3.1-28 MC937 3.1-29
MC74HC4351 3.1-31 MC74LCX04 3.1-30 MC944 3.1-22
MC74HC4353 3.1-33 MC74LCX08 3.1-26 MC945 3.1-23
MC74HC4511 3.1-22 MC74LCX240 3.1-14 MC946 3.1-26
MC74HC4514 3.1-21 MC74LCX244 3.1-14 MC951 3.1-33
MC74HC4538A 3.1-33 MC74LCX245 3.1-38 MC952 3.1-23
MC74HC51 3.1-27 MC74LCX32 3.1-28 MC953 3.1-23
MC74HC533A 3.1-31 MC74LCX373 3.1-30 MCCS142233 3.1-36
MC74HC534A 3.1-24 MC74LCX374 3.1-24 MCCS142234 3.1-36
MC74HC540A 3.1-16 MC74LCX540 3.1-14 MCCS142235 3.1-36
MC74HC541A 3.1-16 MC74LCX541 3.1-14 MCCS142237 3.1-36
MC74HC563 3.1-31 MC74LCX573 3.1-30 MCH12140 3.1-22
MC74HC564 3.1-25 MC74LCX574 3.1-24 MCK12140 3.1-22
MC74HC573A 3.1-31 MC74LVQOO 3.1-26 MCM10139 3.1-35
MC74HC574A 3.1-25 MC74LVQ04 3.1-30 MCM10143 3.1-36
MC74HC58 3.1-27 MC74LVQ125 3.1-14 MCM10145 3.1-36
MC74HC589 3.1-37 MC74LVQ138 3.1-21 MCM10146 3.1-35
MC74HC595A 3.1-37 MC74LVQ240 3.1-14 MCM10149*25 3.1-35
MC74HC597 3.1-37 MC74LVQ244 3.1-14 MCM10152 3.1-35
MC74HC640A 3.1-16 MC74LVQ245 3.1-38 MPC903 3.1-17
MC74HC646 3.1-16 MC74LVQ373 3.1-30 MPC904 3.1-17
MC74HC688 3.1-18 MC74LVQ374 3.1-24 MPC930 3.1-18
MC74HC7266 3.1-27 MC74LVQ541 3.1-14 MPC931 3.1-18
MC74HC73 3.1-23 MC74LVQ573 3.1-30 MPC947 3.1-17
MC74HC74A 3.1-23 MC74LVQ574 3.1-24 MPC948 3.1-17
MC74HC75 3.1-30 MC74LVQ646 3.1-38 MPC950 3.1-18
MC74HC76 3.1-23 MC74LVQ652 3.1-38 MPC951 3.1-18
MC74HC85 3.1-18 MC830 3.1-25 MPC956 3.1-18
MC74HC86 3.1-28 MC832 3.1-14 MPC970 3.1-18

Logic: Standard, Special and Programmable 3.1-46 Motorola Master Selection Guide
Device Index
SN54LSOO 3,1-26 SN54LS191 3,1-20 SN54LS373 3,1-31
SN54LS01 3,1-26 SN54LS192 3,1-20 SN54LS374 3,1-25
SN54LS02 3,1-28 SN54LS193 3,1-20 SN54LS375 3,1-30
SN54LS03 3,1-26 SN54LS194A 3,1-37 SN54LS377 3,1-24
SN54LS04 3,1-29 SN54LS195A 3,1-38 SN54LS378 3,1-24
SN54LS05 3,1-29 SN54LS196 3,1-19 SN54LS379 3,1-23
SN54LS08 3,1-26 SN54LS197 3,1-19 SN54LS38 3,1-26
SN54LS09 3,1-26 SN54LS20 3,1-25 SN54LS386 3,1-28
SN54LS10 3,1-26 SN54LS21 3,1-25 SN54LS390 3,1-20
SN54LS107A 3,1-23 SN54LS22 3,1-25 SN54LS393 3,1-20
SN54LS109A 3,1-23 SN54LS221 3,1-33 SN54LS398 3,1-33
SN54LS11 3,1-26 SN54LS240 3,1-15 SN54LS399 3,1-33
SN54LSl12A 3,1-23 SN54LS241 3,1-15 SN54LS40 3,1-25
SN54LSl13A 3,1-23 SN54LS242 3,1-17 SN54LS42 3,1-21
SN54LSl14A 3,1-23 SN54LS243 3,1-17 SN54LS47 3,1-22
SN54LS12 3,1-26 SN54LS244 3,1-15 SN54LS48 3,1-22
SN54LS122 3,1-33 SN54LS245 3,1-16 SN54LS490 3,1-20
SN54LS123 3,1-33 SN54LS247 3,1-22 SN54LS51 3,1-27
SN54LS125A 3,1-16 SN54LS248 3,1-22 SN54LS54 3,1-27
SN54LS126A 3,1-17 SN54LS249 3,1-22 SN54LS540 3,1-16
SN54LS13 3,1-36 SN54LS251 3,1-31 SN54LS541 3,1-16
SN54LS132 3,1-36 SN54LS253 3,1-32 SN54LS55 3,1-27
SN54LS133 3,1-25 SN54LS256 3,1-30 SN54LS569A 3,1-19
SN54LS137 3,1-21 SN54LS2578 3,1-33 SN54LS623 3,1-16
SN54LS138 3,1-21 SN54LS2588 3,1-33 SN54LS640 3,1-16
SN54LS139 3,1-21 SN54LS259 3,1-30 SN54LS641 3,1-38
SN54LS14 3,1-36 SN54LS26 3,1-26 SN54LS642 3,1-38
SN54LS145 3,1-21 SN54LS260 3,1-28 SN54LS645 3,1-16
SN54LS147 3,1-22 SN54LS266 3,1-27 SN54LS669 3,1-20
SN54LS148 3,1-22 SN54LS27 3,1-28 SN54LS670 3,1-36
SN54LS15 3,1-26 SN54LS273 3,1-24 SN54LS682 3,1-18
SN54LS151 3,1-31 SN54LS279 3,1-31 SN54LS684 3,1-18
SN54LS153 3,1-32 SN54LS28 3,1-28 SN54LS688 3,1-18
SN54LS155 3,1-21 SN54LS280 3,1-34 SN54LS73A 3,1-24
SN54LS156 3,1-21 SN54LS283 3,1-14 SN54LS748 3,1-22
SN54LS157 3,1-32 SN54LS290 3,1-20 SN54LS74A 3,1-23
SN54LS158 3,1-32 SN54LS293 3,1-19 SN54LS75 3,1-30
SN54LS160A 3,1-19 SN54LS298 3,1-33 SN54LS76A 3,1-23
SN54LS161A 3,1-19 SN54LS299 3,1-37 SN54LS77 3,1-30
SN54LS162A 3,1-19 SN54LS30 3,1-25 SN54LS795 3,1-15
SN54LS163A 3,1-19 SN54LS32 3,1-29 SN54LS796 3,1-15
SN54LS164 3,1-37 SN54LS322A 3,1-37 SN54LS797 3,1-15
SN54LS165 3,1-37 SN54LS323 3,1-37 SN54LS798 3,1-15
SN54LS166 3,1-37 SN54LS33 3,1-28 SN54LS83A 3,1-14
SN54LS168 3,1-19 SN54LS348 3,1-22 SN54LS848 3,1-22
SN54LS169 3,1-20 SN54LS352 3,1-32 SN54LS85 3,1-18
SN54LS170 3,1-36 SN54LS353 3,1-32 SN54LS86 3,1-28
SN54LS173A 3,1-23 SN54LS365A 3,1-15 SN54LS90 3,1-20
SN54LS174 3,1-24 SN54LS366A 3,1-15 SN54LS92 3,1-20
SN54LS175 3,1-25 SN54LS367A 3,1-15 SN54LS93 3,1-19
SN54LS181 3,1-14 SN54LS368A 3,1-15 SN54LS958 3,1-37
SN54LS190 3,1-20 SN54LS37 3,1-26 SN74LSOO 3,1-26

Motorola Master Selection Guide 3,1-47 Logic: Standard, Special and Programmable
Device Index
SN74LS01 3.1-26 SN74LS191 3.1-20 SN74LS374 3.1-25
SN74LS02 3.1-28 SN74LS192 3.1-20 SN74LS375 3.1-30
SN74LS03 3.1-26 SN74LS193 3.1-20 SN74LS377 3.1-24
SN74LS04 3.1-29 SN74LS194A 3.1-37 SN74LS378 3.1-24
SN74LS05 3.1-29 SN74LS195A 3.1-38 SN74LS379 3.1-23
SN74LS08 3.1-26 SN74LS196 3.1-19 SN74LS38 3.1-26
SN74LS09 3.1-26 SN74LS197 3.1-19 SN74LS386 3.1-28
SN74LS10 3.1-26 SN74LS20 3.1-25 SN74LS390 3.1-20
SN74LS107A 3.1-23 SN74LS21 3.1-25 SN74LS393 3.1-20
SN74LS109A 3.1-23 SN74LS22 3.1-25 SN74LS395 3.1-37
SN74LS11 3.1-26 SN74LS221 3.1-33 SN74LS398 3.1-33
SN74LS112A 3.1-23 SN74LS240 3.1-15 SN74LS399 3.1-33
SN74LS113A 3.1-23 SN74LS241 3.1-15 SN74LS40 3.1-25
SN74LS114A 3.1-23 SN74LS242 3.1-17 SN74LS42 3.1-21
SN74LS12 3.1-26 SN74LS243 3.1-17 SN74LS47 3.1-22
SN74LS122 3.1-33 SN74LS244 3.1-15 SN74LS48 3.1-22
SN74LS123 3.1-33 SN74LS245 3.1-16 SN74LS490 3.1-20
SN74LS125A 3.1-16 SN74LS247 3.1-22 SN74LS51 3.1-27
SN74LS126A 3.1-17 SN74LS248 3.1-22 SN74LS54 3.1-27
SN74LS13 3.1-36 SN74LS249 3.1-22 SN74LS540 3.1-16
SN74LS132 3.1-36 SN74LS251 3.1-31 SN74LS541 3.1-16
SN74LS133 3.1-25 SN74LS253 3.1-32 SN74LS55 3.1-27
SN74LS136 3.1-28 SN74LS257B 3.1-33 SN74LS569A 3.1-19
SN74LS137 3.1-21 SN74LS258B 3.1-33 SN74LS623 3.1-16
SN74LS138 3.1-21 SN74LS259 3.1-30 SN74LS640 3.1-16
SN74LS139 3.1-21 SN74LS26 3.1-26 SN74LS641 3.1-38
SN74LS14 3.1-36 SN74LS260 3.1-28 SN74LS642 3.1-38
SN74LS145 3.1-21 SN74LS266 3.1-27 SN74LS645 3.1-16
SN74LS147 3.1-22 SN74LS27 3.1-28 SN74LS669 3.1-20
SN74LS148 3.1-22 SN74LS273 3.1-24 SN74LS670 3.1-36
SN74LS15 3.1-26 SN74LS279 3.1-31 SN74LS682 3.1-18
SN74LS151 3.1-31 SN74LS28 3.1-28 SN74LS684 3.1-18
SN74LS153 3.1-32 SN74LS280 3.1-34 SN74LS688 3.1-18
SN74LS155 3.1-21 SN74LS283 3.1-14 SN74LS73A 3.1-24
SN74LS156 3.1-21 SN74LS290 3.1-20 SN74LS748 3.1-22
SN74LS157 3.1-32 SN74LS293 3.1-19 SN74LS74A 3.1-23
SN74LS158 3.1-32 SN74LS298 3.1-33 SN74LS75 3.1-30
SN74LS160A 3.1-19 SN74LS299 3.1-37 SN74LS76A 3.1-23
SN74LS161A 3.1-19 SN74LS30 3.1-25 SN74LS77 3.1-30
SN74LS162A 3.1-19 SN74LS32 3.1-29 SN74LS795 3.1-15
SN74LS163A 3.1-19 SN74LS322A 3.1-37 SN74LS796 3.1-15
SN74LS164 3.1-37 SN74LS323 3.1-37 SN74LS797 3.1-15
SN74LS165 3.1-37 SN74LS33 3.1-28 SN74LS798 3.1-15
SN74LS166 3.1-37 SN74LS348 3.1-22 SN74LS83A 3.1-14
SN74LS168 3.1-19 SN74LS352 3.1-32 SN74LS848 3.1-22
SN74LS169 3.1-20 SN74LS353 3.1-32 SN74LS85 3.1-18
SN74LS170 3.1-36 SN74LS365A 3.1-15 SN74LS86 3.1-28
SN74LS173A 3.1-23 SN74LS366A 3.1-15 SN74LS90 3.1-20
SN74LS174 3.1-24 SN74LS367A 3.1-15 SN74LS92 3.1-20
SN74LS175 3.1-25 SN74LS368A 3.1-15 SN74LS93 3.1-19
SN74LS181 3.1-14 SN74LS37 3.1-26 SN74LS95B 3.1-37
SN74LS190 3.1-20 SN74LS373 3.1-31

Logic: Standard, Special and Programmable 3.1-48 Motorola Master Selection Guide
Ordering Information
Device Nomenclatures

LS - Low Power Schottky


SN VV ww xxxx v
~ Package Type

Standard Prefix

Temperature
J T
Range~
• N for Plastic (74 Series Only)
• J for Ceramic
• 0 for 150 mil Plastic SOIC (74 Series Only)
• OW for 300 mil Plastic SOIC (74 Series Only)
• 74 Series (0 to +70°C)
• 54 Series (-55 to +125°C) Function Type

Family - - - - - - - - - - - - - - - - - '
• LS = Low Power Schottky

FAST
MC VV w XXXX V

L
Package Type
• N for Plastic (74 Series Only)
.-
Circuit Identifier ~T 1 • 0 for 150 mil Plastic SOIC (74 Series Only)
• OW for 300 mil Plastic SOIC (74 Series Only)
Temperature Range
• 74 Series (0 to +70°C) Function Type

Family - - - - - - - - - - - - - - - - - '
• F= FAST

MECL 10K, MECL 10Hl100H


MC WWW xxx VV
-r=
~
Package Type
• P for Plastic
Motorola
Circuit Identifier

Temperature Range ---------'1


• 10 = 10K (-30 to +85°C)
• 10H = 10H (0 to +75°C)
• L for Ceramic
• FN for PLCC

Function Type
• 100H = lOOK Compatible (0 to +85°C)

Motorola Master Selection Guide 3.1-49 Logic: Standard, Special and Programmable
ECLinPS, ECLinPS Lite

Motorola
Circuit Identifier ----.JT
MC

• MC = Fully Qualified Circuit


• XC = Non Reliability Qualified

Compatibility Identifier _ _ _ _ _ _---l


WWW xxx yvy

1: ZZ
1 Package Type
• FN =PLCC
• D = Plastic SOIC
• L = Ceramic DIP
• P = Plastic DIP
Function Type
• yvy = 3-DigHs for ECLinPS
• yv= 2-Digits for ECLinPS Lite
• 10 = 10H Compatible (0 to +85°C)
• 100 = 100K Compatible (0 to +85°C) ' - - - - - - - - - - - ECLinPS Family Identifier
• E = ECLinPS
• EL = ECLinPS Lite
• ELT = ECLinPS Lite Translator
• LVE = Low Voltage ECLinPS
• LVEL = Low Voltage ECLinPS Lite

Metal Gate 14000 Series CMOS

Motorola Circuit Identifier _ _ _ _-r---J


Function Type _ _ _ _ _ _ _ _ _ _ _ _---J.
MC
T
14XXX YY
I _
zz
T Package and Temperature Range
• CL for Ceramic -55 to + 125°C
• CP for Plastic -55 to +125°C
Identifier (per JEDEC Standard) • D/DW for Small Outline Package (Plastic) -55 to + 125°C
• B (or Blank)= Buffered Outputs
• UB = Unbuffered Outputs

High-Speed CMOS

MC VV WWW XXXX Y
--c...- Package Type

.-.
Circuit Identifier I I • N for Plastic (74 Series Only)
• J for Ceramic (54 Series Only)
• D for 150 mil Plastic SOIC (74 Series Only)
Temperature Range • DW for 300 mil Plastic SOIC (74 Series Only)
• 74 Series (-55 to +125°C)
• 54 Series (-55 to +125°C) Function Type
• XXIX) Same Function and Pin Configuration as
High-Speed CMOS
LSTIL
Specification Identifier
• HC = Buffered High-Speed CMOS • 4XXX Same Function and Pin Configuration as
• HCU = Unbuffered High-Speed CMOS' CMOS 14000
• HCT = High-Speed CMOS TIL Compatible • 7XX(X) Variation of LSTIL or CMOS 14000
Device
'Not Available On All Devices

FACT

._.
Circuit Identifier
~T
Temperature Range Family
• 74AC = FACT (-40 to +85°C)
• 74ACT = TIL Compatible (-40 to +85°C)
xxx YY
-,-- Package Type
• N for Plastic
• D for Narrow SOIC
• DW for Wide SOIC

Function Type

Logic: Standard, Special and Programmable 3.1-50 Motorola Master Selection Guide
Other Logic Circuits

Motorola
MC/MCCS
I
wwwwww x VV
Package Type
Circuit Identifier ----l
• N for Plastic
• MC = Standard Circuit Identifier
• D for Narrow SOIC
• MCCS = Circuit Chip-Set Identifier
• FNforPlCC
• FJ forClCC
Function Type _ _ _ _ _ _ _ _---l

Option Suffix Indicator --------------1 Option Type

MECL IIIIHTUDTL

MC XXXX V
L: Package Type

-----.JT
Motorola • P for Plastic
Circuit Identifier • l for Ceramic
• D for Narrow SOIC
• FN for PlCC

Function Type

LCX Products
MC 74 LCX VVVV zz
.~.
~ Package Type

Circuit Identifier I I • D for Plastic Narrow JEDEC SOIC


• DW for Plastic Wide JEDEC SOIC
• M for Plastic EIAJ SOIC
Temperature Range • SD for Plastic SSOP
• 74 = -40 to +85°C • DT for Plastic TSSOP
Function Type
Family Identifier
• lCX = 5V-Tolerant low-Voltage CMOS

LVQ Products
MC 74 LVQ VVVV zz
~ Package Type

.-
Circuit Identifier

Temperature Range
I I • D for Plastic Narrow JEDEC SOIC
• DW for Plastic Wide JEDEC SOIC
• M for Plastic EIAJ SOIC
• SD for Plastic SSOP
• 74 = -40 to +85°C • DT for Plastic TSSOP
Function Type
Family Identifier
• lVQ =low-Voltage Quiet CMOS

Motorola Master Selection Guide 3.1-51 logic: Standard, Special and Programmable
Motorola Programmable Arrays (MPA)

Motorola Programmable
Array Circuit Identifier
~
MPA 1XXXX vv
C Z -I
Temperature Range
• Blank = 0 to + 70'C
• -I = --40 to +85'C (Planned)

Speed Grade
• Consult Factory
Family Identifier
• 1XXX = 1000 Series Programmable Array
• 17XXX = 17000 Series Serial EPROM L-_ _ _ _ _ _ Package Types
• P = 8-Pin DIP • DH = 160-Pin PQFP
• D = 8-Pin SOIC • DK = 208-Pin PQFP
• FN = 20-Pin PLCC • HI = 181-Pin PGA
• FN = 84--Pin PLCC • KE = 224-Pin PGA
• DD = 128-Pin PQFP • HV = 299-Pin PGA

MPA Design System Configuration Numbering

Motorola Programmable
Array Circuit Identifier

Development System Identifier


TT
MPA x I v
L

• E = Entry Series (Includes Full MPAI 016/1 036 Device Support)


• S = Standard Series (Includes All MPA1000 Family Support)
Temperature Range
• P= PC
• W = Workstation

Logic: Standard, Special and Programmable 3.1-52 Motorola Master Selection Guide
Case Outlines
8-Pin Packages

L SUFFIX
CERAMIC DIP PACKAGE
CASE 693-03
ISSUE C

ff1I OPTIONAL LEAD


CONFIGURATION
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEl.
4. DIMENSION F FOR FULL LEADS. HALF LEADS AT
LEAD POSITIONS 1, 4, 5, AND 8.
5. DIMENSION F MAY NARROW TO 0.76 (0.030)
WHERE THE LEAD ENTERS THE CERAMIC BODY.
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 0.390 0.430 9.91 10.92
l±l B
C
0.245 0.275
0.170 0.200
6.22
4.32
6.98
5.08
SEATING
PLANE 0 0.016 0.020 0.41 0.51
E 0.050 BSC 1.27BSC
F 0.050 0.065 1.27 1.65
G 0.100 BSC 2.54 BSC
J 0.008 0.015 0.20 0.38
K 0.125 0.160 3.18 4.06
L 0.300 BSC 7.62 BSC
M 0° 15° 0° 15°
N 0.020 0.040 0.51 1.02

P SUFFIX
PLASTIC DIP PACKAGE
CASE 626-05
ISSUE K

NOTES:
1. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL
2. PACKAGE CONTOUR OPTIONAL (ROUND OR
SQUARE CORNERS).
3. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M, 1962.
NOTE 2
MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 9.40 10.16 0.370 Q.400
B 6.10 6.60 0.240 0.260
C 3.94 .45 0.165 0.175
0 0.38 0.51 0.Q15 0.020
F 1.02 1.78 0.040 0.070
-T- G 2.54BSC 0.100 BSC
H 0.76 1.27 0.030 0.050
SEATING
PLANE J 0.20 0.30 0.008 0.012
K 2.92 3.43 0.115 0.135
L 7.62 Bse 0.300 Bse
H M 10° 10°
N 0.76 1.01 0.030 0.040

Motorola Master Selection Guide 3.1-53 Logic: Standard, Special and Programmable
8-Pin Packages

DSUFFIX
PLASTIC SOIC PACKAGE


CASE 751-Q5
ISSUE N
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B 00 NOT INCWDE MOLD
PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006) PER
SIDE.
5. DIMENSION 0 DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR

m,
PROTRUSION SHALL BE 0.127 (0.005) TOTAL IN
EXCESS OF THE 0 DIMENSION AT MAXIMUM
MATERIAL CONDIllON
IIILLIllETERS INCHES
Dill MIN MAX MIN !lAX
A 4.80 5.00 0.189 .196

1 r- RX450
/" -1 F L
8
C
D
3.80
1.35
0.35
4.00
1.75
0.49
0.150 0.157
0.054 0.068
0.014 0.019

LJ,c
~MO
€h"uT
.......... J
G
J
K
F 0.40
1.27BSC
0.18
0.10
1.25

0.25
0.25
0.016 0.049
O.05OBSC
0.007 O. 9
0.004 0.009
M 0° 7° 0° 7°
P 5.80 6.20 0.229 0.244
R 0.25 O~O 0.010 0.019

SDSUFFIX
PLASTIC SSOP PACKAGE
CASE 94D-03
ISSUE B

1 1-- 8xKREF
I 1$10.12(0.005)®lrlu ®lv®1
0.25 (0.010) NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1962.

ITi8
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCWDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.

Ll_ DETAILE
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
5. DIMENSION K DOES NOT INCWDE DAMBAR
PIN 1 PROTRUSION/INTRUSION. ALLOWABLE
IDENT DAMBAR PROTRUSION SHALL BE 0.13 (0.005)
TOTAL IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDIllON.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.
SECTIONN-N MILLIMETERS INCHES
DIM MIN MAX IIIN MAX
A 2.87 3.13 0.113 0.123
B 5.20 5.38 0.205 0.212
C 1.73 1.99 0.068 0.078
D 0.05 0.21 0.002 0.008
F 0.63 0.95 0.024 0.037
G O. 0.026
H 0.44 0.60 001 0.023
0.09 0.20 0.003 0.008
Jl 0.09 0.6 0.003 0.006
H K 0.25 0.38 0.010 0.015
Kl 0.25 0.33 0.010 0.013
L 7.65 7. .301 0.311
M 0° 8° 0° 8°

Logic: Standard, Special and Programmable 3.1-54 Motorola Master Selection Guide
14-Pin Packages

L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 632--08
ISSUEY NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL.
4. DIMENSION F MAY NARROW TO 0.76 (0.030)
WHERE THE LEAD ENTERS THE CERAMIC
c BODY.
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 0.750 0.785 19.05 19.94
B 0.245 0.2BO 6.23 7.11

~
C 0.155 0.200 3.94 5.08
D 0.Q15 0.020 0.39 0.50
SEA1lNG F 0.055 0.065 1.40 1.65

PLANE F:JI: D G 14PL


G
J
K
L
0.100 BSC
0.008 0.Q15
0.125 0.170
0.300 BSC
2.54BSC
0.21
3.18
7.82BSC
0.38
4.31

1"::;$cTl':'-'0.2":-5(::-0.0:C-:
10"-;)@"I-=-rIrA-;;®"'1 1$10.25(0.010)@lrl B ® 1
M 0° 15° 0° 15°
N 0.020 0.040 0.51 1.01

P,N SUFFIX
PLASTIC DIP PACKAGE
CASE 646-06
ISSUE L NOTES:
1. LEADS WITHIN 0.13 (0.005) RADIUS OF TRUE
POSITION AT SEATING PLANE AT MAXIMUM
MATERIAL CONDITION.
2. DIMENSION L TO CENTER OF LEADS WHEN
B FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MOLD
!-r'T"TT"T"T"11""T"t"..~7r!---.i FLASH.
4. ROUNDED CORNERS OPTIONAL

.1 DIM
A
MIN
INCHES
MAX
0.715 0.770
MILLIMETERS
MIN MAX
18.16 19.56
B 0.240 0.260 6.10 6.60
C 0.145 0.185 3.69 4.69
D 0.015 0.021 0.38 0.53
F 0.040 0.070 1.02 1.78
G O.l00SSC 2.54 BSC
H 0.082 0.095 1.32 2.41
J 0.008 0.015 0.20 0.38
K 0.115 0.135 2.92 3.43
L 0.300 SSC 7.82 BSC
K M 0° 10° 0° 10°
N 0.Q15 0.039 0.39 1.01

DSUFFIX
PLASTIC SOIC PACKAGE
CASE 751A--03
NOTES:
ISSUE F 1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006)
PER SIDE.
5. DIMENSION 0 DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.127 (0.005) TOTAL
IN EXCESS OF THE 0 DIMENSION AT
MAXIMUM MATERIAL CONDITION
MILLIMETERS INCHES
-jGi- DIM MIN MAX MIN MAX
c A 8.55 8.75 0.337 0.344

I~~~
B 3.80 4.00 0.150 0.157
C 1.35 1.75 0.054 om
D 0.35 0.49 0.014 0.019
F 0.40 1.25 0.016 0.049
G 127BSC 0.050 SSC
J 0.19 0.25 0.008 0.009
PLANE 1$1 0.25(0.010)@lrl B ® 1A®I K 0.10 0.25 0.004 0.009
M 0° 7° 0° 7°
P 5.80 6.20 0.228 0.244
R 0.25 0.50 0.010 0.Q19

Motorola Master Selection Guide 3.1-55 Logic: Standard. Special and Programmable
. 14-Pln Packages

M SUFFIX
PLASTIC SOIC EIAJ PACKAGE
CASE 965-01 NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
ISSUE 0 YI4.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS D AND E DO NOT INCLUDE MOUD
FLASH OR PROTRUSIONS AND ARE MEASURED
AT THE RARTING LINE. MOLD FLASH OR
PROTRUSIONS SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
4. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
5. THE LEAD WIDTH DIMENSION (h) DOES NOT
INCLUOE DAMBAR PROTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE O.OB (0.003)
TOTAL IN EXCESS OF THE LEAD WIDTH
DIMENSION AT MAXIMUM MATERIAL
CONDITION. DAMBAR CANNOT BE LOCATED ON
THE LOWER RADIUS OR THE FOOT. MINIMUM
DETAILP SPACE BETWEEN PROTRUSIONS AND
ADJACENT LEAD TO BE 0.46 (O.OIB).
MILLIMETERS INCitES
DIM MIN MAX MIN MAX
VIEWP, A 2.05 0.081

~i]
AI 0.05 0.20 0.002 o.oOB

-II-b A 1 - .....
())I b
c
D
E
e
0.35
0.18
9.90
5.10
0.50
0.27
10.50
5.45
1.27 BSC
0.014 0.020
0.007 0.011
0.390 0.413
0.201 0.215
0.050 BSC
H. 7.40 B.20 0291 0.323
1-$1 0.13 (0.005)@1 0.50 0.50 0.B5 0.020 0.033
L. 1.10 1.50 0.043 0.059
M 0° 10° 0° 10°
Q 0.70 0.90 0.02B 0.035
Z 1.42 0.056

SDSUFFIX
PLASTIC SSOP PACKAGE


CASE 940A-Q3
ISSUE B

1r- 14X K REF

1-$1 0.12(0.005)@ITI u ®I v® 1 0.25 (0.010)


NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
YI4.5M,19B2.
2. CONTROLLING DIMENSION: MILLIMETER.

fIr"
3. DIMENSION A DOES NOT INCLUDE MOLD

l ----- I
FLASH, PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
L B FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)

A~-L
PER SIDE.
PIN 1 5. DIMENSION K DOES NOT INCLUDE DAMBAR
IDENT DETAILE PROTRUSIONIINTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.13 (0.005)
TOTAL IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
I-v-I BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -'1-/-.
MILLIMETERS INCHES
SECTIONN-N DIM MIN MAX MIN MAX
A 6.07 6.33 0.238 0249
B 5.20 5.38 0.205 0.212
C 1.73 1.99 0.06B 0.07B
D 0.05 0.21 0.002 O.OOB
F 0.63 0.95 0.024 0.037
G 0.65 BSC O.026BSC
H 1.08 1.22 0.042 0.048
J 0.09 0.20 0.003 0.008
Jl 0.09 0.16 0.003 0.006
K 0.25 0.3B 0.010 0.015
Kl 0.25 0.33 0.010 0.013
L 7.65 7.90 0.301 0.311
M 0° BO 0° BO

Logic: Standard, Special and Programmable 3,1-56 Motorola Master Selection Guide
14-Pin Packages
DT SUFFIX

14X K REF
PLASTIC TSSOP PACKAGE
CASE 948G-Q1
ISSUE 0
NOTES: •
1. DIMENSIONING ANDTOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS.
MOLD FLASH OR GATE BURRS SHALL NOT

:J:Bl
EXCEED 0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH
OR PROTRUSION SHALL NOT EXCEED
0.25 (0.010) PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAM BAR
PIN1 PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN
IDENT. EXCESS OF THE K DIMENSION AT MAXIMUM
MATERIAL CONDITION.
DETAILE 6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.

L; }jf
MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 4.90 5.10 0.193 0.200
B 4.30 4.50 0.169 0.177
J J1 C 120 0.047

r --SECTION N-N
D
F
G
H
0.05
0.50
0.15
0.75
0.65 BSC
0.50 0.60
0.002
0.020

0.020
0.006
0.030
0.026BSC
0.024
J 0.09 0.20 0.004 0.008
Jl 0.09 0.16 0.004 0.006
K 0.19 0.30 0.007 0.012
Kl 0.19 0.25 0.007 0.010
L 6.40 SSC 0.252 BSC
M 0' 8' 0' 8'

16-Pin Packages
L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 620-10
ISSUE V

NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL.
4. DIMENSION F MAY NARROW TO 0.76 (0.030)
WHERE THE LEAD ENTERS THE CERAMIC
BODY.

I'S
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 0.750 0.785 19.05 19.93
/1 B
C
0.240 0295
0.200
6.10 7.49
5.08
1/

fjUL
D 0.015 0.020 0.39 0.50
E 0.050 BSC 1.27BSC
F 0.055 0.065 1.40 1.65
M G 0.100 BSC 2.54BSC
J 16 PL H 0.008 0.015 0.21 0.38
K 0.125 0.170 3.18 4.31
""I
$-'TI""0.2"-5(-0.0-10--:)@"'"'Ir-Tr-IB--:®=:-'
S 11 L 0.300 BSC 7.62 SSC
M 0' 15' 0' 15'
1$10.25(0.010)@ITIA ®I N 0.020 0.040 0.51 1.01

Motorola Master Selection Guide 3.1-57 Logic: Standard, Special and Programmable
16-Pin Packages

P,N SUFFIX
PLASTIC DIP PACKAGE
CASE 648-08

-
ISSUE R

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
4. DIMENSION B DOES NOT INCLUDE MOLD FLASH.
5. ROUNDED CORNERS OPTIONAL.

INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 0.740 0.770 18.80 19.55
B 0.250 0.270 6.35 6.85
.7 4.44
D 0.015 0.021 0.39 0.53
F 0.040 0.70 1.02 1.77
G 0.100 BSC 2.54 SSC
H 0.050BSC 1.27 BSC
J 0.008 0.Q15 0.21 0.38
K 0.110 0.130 2.80 3.30
L 0.295 0.305 7.50 7.74
M 0° 10° 0° 10°
S 0.020 0.040 0.51 1.01

o
SUFFIX
PLASTIC SOIC PACKAGE
CASE 7518-05
ISSUEJ

~---ll±ll---~
NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006)
PER SIDE.
5. DIMENSION D DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.127 (0.005) TOTAL
IN EXCESS OF THE D DIMENSION AT
MAXIMUM MATERIAL CONDITION.

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 9.80 10.00 0.386 0.393

~O ~OD- - - -D~Pc
B 3.80 4.00 0.150 0.157
C 1.35 1.75 0.054 0.068
D 0.35 0.49 0.014 0.019

L±l S~~~~ -j-L- -TT


D 0 F
G
J
K
0.40 1.25
1.27BSC
0.19
0.10
0.25
0.25
0.016 0.049
0.050 BSC
0.008 0.009
0.004 0.009
o 16PL M 0' 7' 0° 7°
P 5.80 6.20 0.229 0.244
1-EI7IO.25(O.010)@ITIB®IA®1 R 0.25 0.50 0.010 0.019

Logic: Standard, Special and Programmable 3.1-58 Motorola Master Selection Guide
16-Pin Packages

DWSUFFIX
PLASTIC WIDE SOIC PACKAGE
CASE 751G-02
ISSUE A

NOTES:
1 DIMENSIONING AND TOLERANCING PER ANSI
Y14.SM,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE MOLD
PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.15 (0.006) PER
SIDE.
5. DIM ENS 10M 0 DOES NOT INCLUDE DAM BAR
PROTRUSION. ALLOWABLE DAM BAR
PROTRUSION SHALL BE 0.13 (0.005) TOTAL IN
EXCESS OF 0 DIMENSION AT MAXIMUM
MATERIAL CONDITION.

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 10.15 10.45 0.400 0,411
B 7.40 7.60 0.292 0.299
C 2.35 2.65 0.093 0.104
D 0.35 0.49 0.014 0.019
F 0.50 0.90 0.020 0.035
G 1.278SC 0.050BSC
J 0.25 0.32 0.010 0.012
K 0.10 0.25 0.004 0.009
M 0° 7° 0° 7°
P 10.05 10.55 0.395 0.415
R 0.25 0.75 0.010 0.029

M SUFFIX
PLASTIC SOIC EIAJ PACKAGE
CASE 966-01
ISSUE a
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS 0 AND E DO NOT INCLUDE MOLD
FLASH OR PROTRUSIONS AND ARE MEASURED
AT THE PARTING LINE. MOLD FLASH OR
PROTRUSIONS SHALL NOT EXCEED 0.15 (0.006)
PER SIDE
4. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
5. THE LEAD WIDTH DIMENSION (b) DOES NOT
INCLUDE DAMBAR PROTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.08 (0.003)
TOTAL IN EXCESS OF THE LEAD WIDTH
DETAIL P DIMENSION AT MAXIMUM MATERIAL
CONDITION. DAMBAR CANNOT BE LOCATED ON
THE LOWER RADIUS OR THE FOOT. MINIMUM
SPACE BETWEEN PROTRUSIONS AND
ADJACENT LEAD TO BE 0 46 ( 0 018)
VIEWP~
~r- ?-, MILLIMETERS INCHES

fimt#ml~
A c DIM MIN MAX MIN MAX

(JI): ll~
A - 2.05 - 0.081
A 0.05 0.20 0.002 0.008

---- b 0.35 0.50 0.014 0.020

-II-b A1
T c
D
0.18
9.90
0.27
10.50
0.007
0.390
0.011
0.413
E 5.10 5.45 0.201 0.215
e
1$1 0.13(0.005)@ 1 HE
L
1.27BSC
7.40
0.50
8.20
0.85
0.050BSC
0.291
0.020
0.323
0.033
L< 1.10 1.50 0.043 0.059
M 0° 10° 0° 10°
a 0.70 0.90 0.028 0.035
Z 0.78 0.031

Motorola Master Selection Guide 3.1-59 Logic: Standard, Special and Programmable
16-Pin Packages

SO SUFFIX
PLASTIC SSOP PACKAGE
CASE 9408-03
ISSUE B

1 t--
I
16X K REF
1$10.12 (0.005)(01 TI u ® I v ® I 0.25(0.010)
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI YI4.5M. 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD FLASH.
PROTRUSIONS OR GATE BURRS. MOLD FLASH OR GATE
BURRS SHALL NOT EXCEED 0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD FLASH OR
PROTRUSION.INTERLEAD FLASH OR PROTRUSION SHALL
NOT EXCEED 0.15 (0.006) PER SIDE.
5. DIMENSION K ODES NOT INCLUDE DAMBAR
PROTRUSION/INTRUSION. ALLOWABLE DAM BAR
L PROTRUSION SHALL BE 0.13 (0.005) TOTAL IN EXCESS OF K
DIMENSION AT MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K BY MORE
PIN1 THAN 0.07 (0.002) AT LEAST MATERIAL CONDITION.
IDENT DETAIL E
6. TERMINAL NUMBERS ARE SHOWN FOR REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED AT DATUM
PLANE -W-.

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 6.07 6.33 0.238 0.249
B 5.20 5.38 0.205 0.212
C 1.73 1.99 0.068 0.078
SECTION N-N 0 0.05 0.21 0.002 0.008
F 0.63 0.95 0.024 0.037

JJ E =s-~
G 0.65 BSC 0.026BSC
H 0.73 0.90 0.028 0.035

II/ \00
J 0.09 0.20 0.003 0.008
J1 0.09 0.16 0.003 0.006
K 0.25 0.38 0.010 0.015

DETAILE~"""
KI 0.25 0.33 0.010 0.013
L 7.65 7.90 0.301 0.311
M 0' 8' 0' 8'

OTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 948F-01
,.~
ISSUE 0
16X KREF

,----,-------,---,----=0 I j1$1 0.10(0.004)(0I TI u ®I v®1


NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
YI4.5M.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD FLASH.

i=-G"7"7"i1 PROTRUSIONS OR GATE BURRS. MOLD FLASH OR


GATE BURRS SHALL NOT EXCEED 0.15 (0.006) PER

1
SIDE.
4. DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED
0.25 (0.010) PER SIDE.
B 5. DIMENSION K DOES NOT INCLUDE DAMBAR
I±I PROTRUSION. ALLOWABLE DAM BAR PROTRUSION
SHALL BE 0.08 (0.003) TOTAL IN EXCESS OF THE K

~~J
PIN 1
DIMENSION AT MAXIMUM MATERIAL CONDITION.
IDENT. 6. TERMINAL NUMBERS ARE SHOWN FOR REFERENCE
ONLY.
7 DIMENSION A AND B ARE TO BE DETERMINED AT

,---,--------,-~~ ~
DATUM PLANE -W-.

A MILLIMETERS INCHES
DIM MIN MAX MIN MAX
[±] A
B
4.90 5.10
4.50
0.193
0.169
0.200
0.177
4.30
C 1.20 0.047
D 0.05 0.15 0.002 0.006
F 0.50 0.75 0.020 0.030
G 0.65 SSC 0.026 BSC
H 0.18 0.28 0.007 0.011
J 0.09 0.20 0.004 0.008
J1 0.09 0.16 0.004 0.006
K 0.19 0.30 0.007 0.012
KI 0.19 0.25 0.007 0.010
L 6.40 BSC 0.252 BSC
M 0' 8' 0' 8'

Logic: Standard, Special and Programmable 3.1-60 Motorola Master Selection Guide
18-Pin Packages

L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 726-04
ISSUE G

I- EB -I NOTES:
1. DIMENSIONING AND TOLERANCING PER

[:::::]~
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL
OPTIONAL LEAD 4. DIMENSION F FOR FULL LEADS. HALF
CONFIGURATION (I, 9,10,18) LEADS OPTIONAL AT LEAD POSITIONS 1,
9, 10,AND 18.
INCHES MILLIMETERS
DIM MIN MAX MIN MAX

~~~"~
A 0.880 0.910 22.35 23.11
B 0240 0.295 6.10 7.49
C - 0.200 - 5.08
D 0.015 0.021 0.38 0.53
F 0.055 0.070 1.40 1.78
SEATING -------a G 0.100 BSC 2.54BSC

jt
PLANE F D 18:L T
J
K
L
M
0.008
0.125
0.012
0.170
0.300 BSC
0" IS"
0.20
3.18
0.30
4.32
7.82 BSC
0" IS"
'I$:-iI=--O.:":'25:"'::(O'-.01-0)--=®"I-'TIr-A--:®~I 1$lo.25(O.010)®ITI B ®I N 0.020 0.040 0.51 1.02

P,N SUFFIX
PLASTIC DIP PACKAGE
CASE707-Q2
ISSUEC

NOTES:
1. POSITIONAL TOLERANCE OF LEADS (D),

f~ ::::::::IJ
SHALL BE WITHIN 0.25 (0.010) AT MAXIMUM
MATERIAL CONDITION, IN RELATION TO
SEATING PLANE AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MOLD
FLASH.

I- A -I DIM
MILUMETERS
MIN MAX MIN
INCHES
MAX
A 22.22 23.24 0.875 0.915
B 6.10 6.60 0.240 0.260
C 3.56 4.57 0.140 0.160
D 0.36 0.56 0.014 0.022
F 1.27 1.78 0.050 0.070
G 2.54 SSC 0.100 BSC
H 1.02 1.52 0.040 0.060
J 0.20 0.30 0.008 0.012
K 2.92 3.43 0.115 0.135
L 7.82 BSC 0.300 BSC
M 0" IS" 0" IS"
N 0.51 1.02 0.020 0.040

Motorola Master Selection Guide 3.1-61 Logic: Standard, Special and Programmable
2D-Pin Packages

L,J SUFFIX
CERAMIC DIP PACKAGE
CASE 732-03
ISSUE E NOTES:
-
1. LEADS WITHIN 0.25 (0.010) DIAMETER. TRUE
POSITION AT SEATING PLANE. AT MAXIMUM
MATERIAL CONDITION.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEl.
3. DIMENSIONS A AND B INCLUDE MENISCUS.

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
c A
B
23.88
6.60
25.15
7.49
0.940
0.260
0.990
0.295
C 3.81 5.08 0.150 0.200

I~~):: :~):t,~
0 0.38 0.56 0.Q15 0.022
F 1.40 1.65 0.055 0.065

UIPIII : I~'- 7: ' G


H
2.54 BSC
0.51 1.27
0.100BSC
0.020 0.050

H- t--ll-D -IG~ K K
L
J 0.20
3.18
0.30
4.06
7.62 BSC
0.008 0.012
0.125 0.160
0.300 BSC
SEATING M 0° 15° 0° 15°
PLANE N 0.25 1.02 0.Q10 0.040

-
P,N SUFFIX
PLASTICC DIP PACKAGE
CASE 738-03
ISSUE E
NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSIY14.5M,1962.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEl.
4. DIMENSION B DOES NOT INCLUDE MOLD
FLASH.

INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 1.010 1.070 25.66 27.17
B 0.240 0.260 6.10 6.60
C 0.150 0.180 3.81 4.57
0 0.015 0.022 0.39 0.55
E O.osa BSC 1.27BSC
0.050 0.070 1.27 177
G 0.100 BSC 2.54 BSC
J 0.008 0.015 0.21 0.38
K 0.110 0.140 2.80 3.55
L 0.300 BSC 7.62 BSC
M 0° 15° 0° 15°
N 0.020 0.040 0.51 1.01

D SUFFIX
PLASTIC SOIC PACKAGE
CASE 7510-04
ISSUE E NOTES:
1. DIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0.150 (0.006)
PER SIDE.
5. DIMENSION 0 DOES NOT INCLUDE
DAMBAR PROTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.13
(0.005) TOTAL IN EXCESS OF 0 DIMENSION
AT MAXIMUM MATERIAL CONDITION.

-11-1r-:$-rlo-.O-10-(O-.2S--:)®=I-Tr-1A--:®=-Sr-IB--;®"'I
20X D
DIM
MILLIMETERS
MIN MAX
INCHES
MIN MAX
A 12.65 12.95 0.499 0.510
B 7.40 7.60 0292 0.299
C 2.35 2.65 0.093 0.104
0 0.35 0.49 0.014 0.Q19
F O.sa 0.90 0.020 0.035
G 1.27BSC O.osa BSC
J 0.25 0.32 0.010 0.012
K 0.10 0.25 0.004 0.009
M 0° 7° 0° 7°
P 10.05 10.55 0.395 0.415
R 0.25 0.75 0.010 0.029

Logic: Standard. Special and Programmable 3.1--62 Motorola Master Selection Guide
2o-Pin Packages

M SUFFIX
PLASTIC SOIC EIAJ PACKAGE
CASE 967-01
NOTES:
ISSUE 0 1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS D AND E DO NOT INCLUDE MOLD
FLASH OR PROTRUSIONS AND ARE MEASURED
AT THE PARTING LINE. MOLD FLASH OR
PROTRUSIONS SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
4. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY,
5. THE LEAD WIDTH DIMENSION (b) DOES NOT
INCLUDE DAMBAR PROTRUSION. ALLOWABLE
DAM BAR PROTRUSION SHALL BE 0.08 (0.003)
TOTAL IN EXCESS OF THE LEAD WIDTH
DIMENSION AT MAXIMUM MATERIAL
CONDITION. DAM BAR CANNOT BE LOCATED ON
THE LOWER RADIUS OR THE FOOT. MINIMUM
SPACE BETWEEN PROTRUSIONS AND
DETAILP ADJACENT LEAD TO BE 0 46 (0018)
MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A - 2.05 - 0.081
VIEWP---... A, 0.05 0.20 0.002 0.008
;>-, b 0.35 0.50 0.014 0.020
c 0.18 0.27 0.007 0.011

(;1) :
--
D 12.35 12.80 0.486 0.504
E 5.10 5.45 0.201 0.215

H.
1.27BSC
7.40 8.20
0.050 SSC
0.291 0.323
L 0.50 0.85 0.020 0.033
L. 1.10 1.50 0.043 0.059
0.13(0.005)@ M 0" 10" 0" 10"
Q 0.70 0.90 0.028 0.035
Z 0.81 0.032

SO SUFFIX


PLASTIC SSOP PACKAGE
CASE 940C-03
ISSUE B

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
0.25 (0.010) 3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS. MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.
4. DIMENSION B DOES NOT INCLUDE INTER LEAD
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION/INTRUSION. ALLOWABLE
DAMBAR PROTRUSION SHALL BE 0.13 (0.005)
TOTAL IN EXCESS OF K DIMENSION AT
DETAILE MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
Lr-K---J~ REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED

J W//4 J1
AT DATUM PLANE -W-.
MILLIMETERS INCHES

T~K1-1 t DIM
A
MIN
7.07
MAX
7.33
MIN MAX
0.278 0.288
B 5.20 5.38 0.205 0.212
C 1.73 1.99 0.068 O.D7B
SECTIONN-N O.OOB
D 0.05 021 0.002
F 0.63 0.95 0.024 0.037
G 0.65BSC 0.026 BSC
H 0.59 0.75 0.023 0.030
J 0.09 020 0.003 0.008
J1 0.09 0.16 0.003 0.006
K 0.25 0.38 0.010 0.015
K1 0.25 0.33 0.010 0.013
L 7.65 7.90 0.301 0.311
M 0" 8" 0" 8"

Motorola Master Selection Guide 3.1-63 Logic: Standard, Special and Programmable
20-Pin Packages

OTSUFFIX
PLASTIC TSSOP PACKAGE


CASE 948E-02
ISSUE A

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14,5M,1982,
2, CONTROLLING DIMENSION: MILLIMETER,
3, DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS, MOLD
FLASH OR GATE BURRS SHALL NOT EXCEED
0,15 (0,006) PER SIDE,
4, DIMENSION B DOES NOT INCLUDE INTERLEAD
FLASH OR PROTRUSION, INTERLEAD FLASH
OR PROTRUSION SHALL NOT EXCEED 0,25
(0,010) PER SIDE,
5, DIMENSION K DOES NOT INCLUDE DAM BAR
SECTION N-N PROTRUSION, ALLOWABLE DAM BAR
PROTRUSION SHALL BE 0,08 (0,003) TOTAL IN
EXCESS OF THE K DIMENSION AT MAXIMUM
MATERIAL CONDITION,
6, TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY,
7, DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-,

MILliMETERS INCHES
DIM MIN MAX MIN MAX
A 6.40 6,60 0,252 0,260
B 4,30 4,50 0,169 0,177
e - 1.20 - 0,047
0 0,05 0,15 0,002 0,006
F 0,50 0,75 0,020 0,030
DETAILE G 0,65 Bse 0,026BSC
H 0,27 0,37 0,011 0.Q15
J 0,09 0,20 0,004 0,008
J1 0,09 0,16 0,004 0,006
K 0,19 0,30 0,007 0,012
K1 0,19 0,25 0,007 0,010
L 6,40 Bse 0,252 BSC
M 0° 8° 0° 8°

Logic: Standard, Special and Programmable 3.1-64 Motorola Master Selection Guide
20-Pin Packages

FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 775--02
ISSUE C

81$1 O.007(O.180)@ITI L-M® 1N®I

u 1$1 O.007(O.180)@ITI L-M®I N®I

G11$1 O.OI0(O.250)®ITI L-M®I N®I


VIEWD-D

I+----+t---AI$I O.007(O.180)@ITIL-M®IN®1

~-----rt-R 1$1 O.007(O.180)@ITI L-M®I N®I

~
HI$lo.007(O.180)@ITIL-M®IN®1

+
K1
K

~ I- F 1$1 O.007(O.180)@ITI L-M®I N®I


VIEWS

NOTES: MILLIMETERS
INCHES
1. DATUMS -L-, -M-, AND -N- DETERMINED
WHERE TOP OF LEAD SHOULDER EXITS DIM MIN MAX MIN MAX
PLASTIC BODY AT MOLD PARTING LINE. A 0.385 0.395 9.78 10.03
2. DIMENSION G1, TRUE POSITION TO BE B 0.385 0.395 9.78 10.03
MEASURED AT DATUM -T-, SEATING PLANE. C 0.165 0.180 4.20 4.57
3. DIMENSIONS RAND U 00 NOT INCLUDE MOLD E 0.090 0.110 2.29 2.79


FLASH. ALLOWABLE MOLD FLASH IS 0,010 F 0.013 0.019 0.33 0.48
(0.250) PER SIDE. G 0.050BSC 1.27BSC
4. DIMENSIONING AND TOLERANCING PER ANSI H 0.02 0.032 0.66 0.81
Y14.5M,1982. J 0.020 0.51
5. CONTROLLING DiMENSION: INCH. K 0.025 0.84
6. THE PACKAGE TOP MAY BE SMALLER THAN THE R 0.350 0.356 8.89 9.04
PACKAGE BOTTOM BY UP TO 0.012 (0.300). U 0.350 0.356 8.89 9.04
DIMENSIONS R AND U ARE DETERMINED AT THE
V 0.042 0.048 1.07 1.21
OUTERMOST EXTREMES OF THE PLASTIC BODY
EXCLUSIVE OF MOLD FLASH, TIE BAR BURRS, W 0.042 0.048 1.07 1.21
GATE BURRS AND INTERLEAD FLASH, BUT X 0.042 0.056 1.07 1.42
INCLUDING ANY MISMATCH BETWEEN THE TOP Y 0.020 0.50
AND BOTTOM OF THE PLASTIC BODY. Z 2° 10° 2° 10°
7. DIMENSION H DOES NOT INCLUDE DAMBAR G1 0.310 0.330 7.88 8.38
PROTRUSION OR INTRUSION. THE DAM BAR K1 0.040 1.02
PROTRUSION(S) SHALL NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0.037 (0.940).
THE DAMBAR INTRUSION(S) SHALL NOT CAUSE
THE H DIMENSION TO BE SMALLER THAN 0.025
(0.635).

Motorola Master Selection Guide 3.1-65 Logic: Standard, Special and Programmable
22-Pin Packages

J SUFFIX
CERAMIC DIP PACKAGE
CASE 736-05
ISSUE E

~------~-A-r-------~
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
YI4.5M,1982.

~
OPTIONAL 2. CONTROlLING DIMENSION: INCH.
LEAD 3. DIMENSION L TO CENTER OF LEAD WHEN
CONFIGURATION FORMED PARALLEL
4. DIMENSION F FOR FULL LEADS. HALF LEADS
OPTIONAL AT LEAD POSITIONS " 1" 12, AND 22.
5. DIMENSION F MAY NARROW TO 0.76 (0.030)
WHERE THE LEAD ENTERS THE CERAMIC
BODY.
INCHES IILLIMETERS
Dill IIIN MAX IIIN MAX
A 1.060 1.095 2693 27.81
B 0.360 0.390 9.15 9.90
C 0.150 0.215 3.81 5.46
D 0.15 0.021 0.39 0.53
F 0.050 0.065 1.27 1.65
G 0.100 esc 2.54BSC
J 0.008 0.015 020 0.39
K 0.125 0.170 3.18 4.31
L 0.400 BSC 10.16SSC
M O' 15' O' 15'
N 0.20 0.050 0.51 127

N SUFFIX
PLASTIC DIP PACKAGE
CASE 708-04
ISSUE D

NOTES:
1. PDSITIONAL TOLERANCE OF LEADS (D), SHALL
BE WITHIN 0.25 (0.010) AT MAXIMUM MATERIAL

f::::::::::: IJ
CONDITION, IN RELATION TO SEATING PLANE
AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MeLD
FLASH.

MILLIMETERS INCHES
Dill IIIN MAX MIN MAX
A 27.56 28.32 1.085 1.115
iLl
, ,
B
C
8.64
3.94
9.14
5.08
0.340 0.360
0.155 0.200
D 0.6 0.56 0.014 0.022

fl
F 1.27 1.76 0.050 0.070
G 2.54BSC 0.100BSC
H 1.02 1.52 0.040 0.060
J 0.20 0.38 0.008 0.D15
K 2.82 3.43 0.115 0.135
L 10.16BSC 0.400BSC
II O' IS' O' 15°
N 0.51 0.020 0.040

Logic: Standard. Special and Programmable 3.1-66 Motorola Master Selection Guide
24-Pin Packages

J SUFFIX
CERAMIC DIP PACKAGE
CASE 758-02
ISSUE A

NOTES:
1, DIMENSIONING AND TOLERANCING PER ANSI
YI4,5M,1982,
2, CONTROLLING DIMENSION: INCH,
3, DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEl.

INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 1.240 1,285 31,50 32,64
B 0,285 0,305 7,24 7,75
C 0,160 0,200 4,07 5,08
D 0,015 0,021 0,38 0,53
F 0,045 0,062 1,14 1,57
G 0,100BSC 2,64 BSC
J 0,008 0,013 0,20 0,33
K 0,100 0,185 2,54 4,19
L 0,300 0,310 7,62 7,87
N 0,020 0,050 0,51 1,27
P 0,360 0,400 9,14 10,16

L,J,JW SUFFIX
CERAMIC DIP PACKAGE
CASE 623-{)5
ISSUE M

13
1 NOTES:
1, DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEl.
2, LEADS WITHIN 0,13 (0,005) RADIUS OF TRUE
B POSITION AT SEATING PLANE AT MAXIMUM

~nrnnnnnnnn""TTTTTTrI2~~
MATERIAL CONDITION (WHEN FORMED
PARALLEL),
MILLIMETERS INCHES

A -----+1.1
DIM MIN MAX MIN MAX
A 31,24 32,77 1,230 1.290
B 12.70 15,49 0,500 0,610
C 4,06 5,59 0,160 0,220
D 0,41 0,51 0,016 0,020
F 1.27 1,52 0,050 0,060
G 2,64BSC 0,100BSC
J 0,20 0,30 0,008 0,012
K 3,18 4,06 0,125 0,160
L 15,24BSC 0,600 BSC
0° 15°
N 0,51 1,27 0,020 0,050

Motorola Master Selection Guide 3.1-67 Logic: Standard, Special and Programmable
24-Pln Packages

N SUFFIX
PLASTIC DIP PACKAGE
CASE 709-02 NOTES:
1. POSITIONAL TOLERANCE OF LEADS (D),
ISSUE C SHALL BE WITHIN 0.25 (0.010) AT MAXIMUM
MATERIAL CONDITION, IN RELATION TO
SEATING PLANE AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL
3. DIMENSION B DOES NOT INCLUDE MOLD
FLASH.
MlLUMETERS INCHES
DIM MIN MAX MIN MAX
A 31.37 32.13 1.235 1.265
B 13.72 14.22 0.540 0.550
c C 3.94 5.08 0.155 0.200
D 0.36 0.56 0.014 0.022
F 1.02 1.52 0.040 0.050
G 2.54BSG O.I00BSG
H 1.65 2.03 0.065 0.080
J 0.20 0.38 0.008 0.015
H K 2.92 3.43 0.115 0.135
L 15.24 BSC 0.600BSG
M 0° 15° 0° 15°
N 0.51 1.02 0.020 0.040

P,N SUFFIX
PLASTIC DIP PACKAGE
CASE 724-03
ISSUE D
I" [±] lJ NOTES:
1. CHAMFERED CONTOUR OPTIONAL.

1~:::::::::::loo
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSIONING AND TOLERANCING PER ANSI
YI4.5M,1982.
t 4. CONTROLLING DIMENSION: INCH.
INCHES MILUMETERS

~c JLJ~-,
DIM MIN MAX MIN MAX
A 1.230 1.265 31.25 32.13
B 0.250 0.270 6.35 6.85
C 0.145 0.175 3.69 4.44
D 0.015 0.020 0.38 0.51

. j '~M
E 0.050 BSC 1.27BSC
F 0.040 0.050 1.02 1.52
G 0.100 BSC 2.54 BSC
J 0.007 0.012 0.18 0.30
K 0.110 0.140 2.80 3.55
o 24PL 1$-1 O.25(O.010)@iTi B @I L
M
0.300 BSC
0° 15°
7.62 BSC
0° 15°
1$-1 o.25(o.010)@ITI A @I N 0.020 0.040 0.51 1.01

P,N,PW SUFFIX
PLASTIC DIP PACKAGE
p CASE 649-03 NOTES:
1. LEADS WITHIN 0.13 (0.005) RADIUS OF TRUE
ISSUE D POSITION AT SEATING PLANE AT MAXIMUM
MATERIAL CONDITION.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
Q
MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 31.50 32.13 1.240 1.265
B 13.21 13.72 0.520 0.540
C 4.70 5.21 0.185 0.205
0 0.38 0.51 0.015 0.020
F 1.02 1.52 0.040 0.080
G 2.54BSC 0.100BSG
c H 1.65 2.16 0.065 0.085
J 0.20 0.30 0.008 0.012
K 2.92 3.43 0.115 0.135
L 14.99 15.49 0.590 0.610
M 10 10°
N 0.51 1.02 0.020 0.040
P 0.13 0.38 0.005 0.015
Q 0.51 0.76 0.020 0.030

Logic: Standard, Special and Programmable 3.1-68 Motorola Master Selection Guide
24-Pin Packages

OW SUFFIX
PLASTIC WIDE SOIC PACKAGE
CASE 7S1E-04
ISSUE E

NOTES:
1. OIMENSIONING AND TOLERANCING PER
ANSI Y14.5M, 1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND B DO NOT INCLUDE
MOLD PROTRUSION.
4. MAXIMUM MOLD PROTRUSION 0,15 (0.006)
PER SIDE.
5. DIMENSION D DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.13 (0.005) TOTAL IN

JL 24X 0
r:1$"1"'=0.""'01"""0("""0.2:-: :5)-;:®: T1::1TI--:-A--;®""'IB--;®~I
EXCESS OF D DIMENSION AT MAXIMUM
MATERIAL CONDITION.

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 15.25 15.54 0.601 0.612
B 7.40 7.60 0.292 0.299
C 2.35 2.65 0.093 0.104
D 0.35 0.49 0.014 0.019

=-~~
F 0.41 0.90 0.016 0.035
G 1.27BSC O.osa BSC
J 0.23 0.32 0.009 0.013
K 0.13 0.29 0.005 0.011

l T::
SEATING
PLANE -.J
I
22X G K
M
P
R
0'
10.05
0.25
8'
10.55
0.75
0'
0.395
0.010
8'
0.415
0.029

SO SUFFIX
PLASTIC SSOP PACKAGE


CASE 940D-03
ISSUE B

1 1--
I
24X KREF

1$10.12(0.005)®ITlu®lv®1
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.

TT"': L r- K--J~ 2. CONTROLLING DIMENSION: MILLIMETER.


3. DIMENSION A DOES NOT INCLUDE MOLD

I B T l -W/4
FLASH, PROTRUSIONS OR GATE BURRS. MOLD

~
FLASH OR GATE BURRS SHALL NOT EXCEED
0.15 (0.006) PER SIDE.
1 1124 13 J J1 4. DIMENSION B DOES NOT INCLUDE INTERLEAD
L l - t K1-J
FLASH OR PROTRUSION. INTERLEAD FLASH OR
PROTRUSION SHALL NOT EXCEED 0.15 (0.006)
PER SIDE.

~12~
SECTIONN-N 5. DIMENSION K DOES NOT INCLUDE DAM BAR
PINl PROTRUSION/INTRUSION. ALLOWABLE
IDENT DAMBAR PROTRUSION SHALL BE 0.13 (0.005)

+---1rU A~ b 0.25(0.010)
TOTAL IN EXCESS OF K DIMENSION AT
MAXIMUM MATERIAL CONDITION. DAMBAR
INTRUSION SHALL NOT REDUCE DIMENSION K
BY MORE THAN 0.07 (0.002) AT LEAST MATERIAL

N~~
CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
L-.l...-0-'---'----'---J[±]'------'V- AT DATUM PLANE -W-.
MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 8.07 8.33 0,317 0.328
B 5.20 5.38 0.205 0.212
DETAILE C 1.73 1.99 0.068 0.D78
D 0.05 0.21 0,002 0.008
F 0.63 0.95 0.024 0.037
G 0.65 BSC 0.026 BSC
H 0.44 0.60 0,017 0.024
J 0.09 0.20 0.003 0.008
Jl 0.09 0.16 0.003 0.006
K 0.25 0.38 0.010 0.015
Kl 0.25 0.33 0.010 0.013
L 7.65 7.90 0.301 0.311
M 0' 8' 0' 8'

Motorola Master Selection Guide 3,1-69 Logic: Standard, Special and Programmable
24-Pin Packages

DTSUFFIX
PLASTIC TSSOP PACKAGE
CASE 948H-Q1


ISSUE 0

24XKREF

--Ir--le-I 0.10(0.004)@ITlu ®lv®1


~~~--~~~~~-,-----
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI

1
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSION A DOES NOT INCLUDE MOLD
FLASH, PROTRUSIONS OR GATE BURRS.
B MOLD FLASH OR GATE BURRS SHALL NOT
EXCEED 0.15(0.006) PER SIDE.
8B 4. DIMENSION B DOES NOT INCLUDE INTERLEAD

1bfr=r;=rr=;=;=;=;=;=;="i"Fi"F'FF~J
FLASH OR PROTRUSION. INTERLEAD FLASH
OR PROTRUSION SHALL NOT EXCEED
0.25(0.010) PER SIDE.
5. DIMENSION K DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN

.1 EXCESS OF THE K DIMENSION AT MAXIMUM


MATERIAL CONDITION.
6. TERMINAL NUMBERS ARE SHOWN FOR
REFERENCE ONLY.
7. DIMENSION A AND B ARE TO BE DETERMINED
AT DATUM PLANE -W-.
MILUMETERS INCHES
DIM MIN MAX MIN MAX
A 7.70 7.90 0.303 0.311

ri·
-T-
10 (0.004) I
SEAllNG
8
e
D
F
4.30

0.05
0.50
4.50
1.20
0.15
0.75
0.169 o.m
0.047
0.002 0.006
0.020 0.030
PLANE
G 0.65 BSC 0.026Bse
H 0.27 0.37 0.011 0.015
J 0.09 0.20 0.004 0.008
J1 0.09 0.16 0.004 0.006
K 0.19 0.30 0.007 0.012

~I (t:) K1
L
rot
0.19
6.40BSC

0.25


0.007 0.010
0.252 Bse
0° 8°

DETAIL E..-/~

'N~~ '~:~ 1
DETAILE - ,

logic: Standard, Special and Programmable 3.1-70 Motorola Master Selection Guide
28-Pin Packages

J SUFFIX
CERAMIC DIP PACKAGE
CASE 733-04
ISSUE C

NOTES:
1. DIMENSIONS A AND S INCLUDES MENISCUS.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M, 1982.
4. C0tffi10LLING DIMENSION: INCH.
INCHES MILLIMETERS
ruM MIN MAX MIN MAX
A 1.435 1.490 36.45 37.84
I" [±] ~I c B 0.500 0.605 12.70 15.36

;;!;.;."l~L S
C 0.160 0.230 4.06 5.84
D 0.015 0.022 0.38 0.55
F 0.050 0.065 1.27 1.65
G 0.100BSC 2.54BSC
J 0.008 0.012 0.20 0.30
K 0.125 0.160 3.18 4.06

PLANE ~
-J l!J f-G D2:PL
L
M
0.600BSC
0° 15°
15.24 SSC
0° 15°
N 0.020 0.050 0.51 1.27

1$10 o.25(o.o10)®ITI A ®I
N SUFFIX
PLASTIC DIP PACKAGE
CASE 710-02
ISSUE B

NOTES:
1. POSITIONAL TOLERANCE OF LEADS (D), SHALL
BE WITHIN 0.25 (0.010) AT MAXIMUM MATERIAL
CONDITION, IN RELATION TO SEATING PLANE
AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MOLD FLASH.

MILUMETERS INCHES
DIM MIN MAX MIN MAX
A 36.45 37.21 1.435 1.465
B 13.72 14.22 0.540 0.560
C 3.94 5.08 0.155 0.200
D 0.36 0.56 0.014 0.022
F 1.02 1.52 0.040 0.060
G 2.54BSC 0.100 BSC
H 1.65 2.16 0.065 0.085
J 0.20 0.38 0.008 0.015
K 2.92 3.43 0.115 0.135
L 15.24BSC 0.600 BSC
M 0° 15° 0° 15°
N 0.51 1.02 0.020 0.040

Motorola Master Selection Guide 3.1-71 Logic: Standard, Special and Programmable
28-Pin Packages

FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 776-<l2
ISSUE D

BI$I 0.007 (0.180)@ITI L-M® 1N®I


YBRK
u 1$1 0.007(0.180)@ITI L-M® 1N®I

~
1
if----=---;L:l
~t-t D G11$1 0.010(0.250)®ITI L-M®I N®I
VIEWD-D

t - - - - - - . . r - AI$I 0.007(0.180)@ITI L-M®I N®I


~-----oft- R 1$1 0.007 (0.180)@ITI L-M® 1N®I
U;;;;;::;;:;;;+;:~Uj-*-

~ I- F 1$10.o07(o.180)@ITIL-M®IN®1
VIEWS
1$1 0.010 (o.250)®1 TI L-M®I N®I VIEWS

NOTES: INCHES MILLIMETERS


1. DATUMS -L-, -M-, AND -N- DETERMINED
WHERE TOP OF LEAD SHOULDER EXITS DIM MIN MAX MIN MAX
PLASTIC BODY AT MOLD PARTING LINE. A 0.485 .0.495 12.32 12.57
2. DIMENSION Gl, TRUE POSITION TO BE 8 0.485 0.495 12.32 12.57
MEASURED AT DATUM - T-, SEATING PlANE. C 0.165 0.180 4.20 4.57
3. DIMENSIONS RAND U DO NOT INCLUDE E 0.090 0.110 2.29 2.79
MOLD FlASH. AlLOWABLE MOLD FLASH IS F 0.013 0.019 0.33 0.48
0.010 (0.250) PER SIDE. G O.050BSC 1.27BSC
4. DIMENSIONING AND TOLERANCING PER H 0.026 0.032 0.66 0.81
ANSI YI4.5M, 1982. J 0.020 0.51
5. CONTROLLING DIMENSION: INCH. K 0.025 0.64
6. THE PACKAGE TOP MAY BE SMAlLER THAN R 0.450 0.456 11.43 11.58
THE PACKAGE BOTTOM BY UP TO 0.012 0.450 0.456 11.43 11.58
U
(0.300). DIMENSKlNS RAND U ARE
V 0.042 0.048 1.07 1.21
DETERMINED AT THE OUTERMOST
EXTREMES OF THE PlASTIC SODY W 0.042 0.048 1.07 1.21
EXCLUSIVE OF MOlD FlASH, TIE BAR X 0.042 0.056 1.07 1.42
BURRS, GATE BURRS AND INTERUEAD Y 0.020 0.50
FLASH, BUT INCLUDING ANY MISMATCH Z 2° 10° 2° 10°
BETWEEN THE TOP AND BOTTOM OF THE G1 0.410 0.430 10.42 10.92
PLASTlC BODY. Kl 0.040 - 1.02 -
7. DIMENSION H DOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROTRUSION(S) SHALL NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0.037
(0.940). THE DAMBAR INTRUSION(S) SHAlL
NOT CAUSE THE H DIMENSION TO BE
SMALLER THAN 0.025 (0.635).

Logic: Standard, Special and Programmable 3.1-72 Motorola Master Selection Guide
32-Pin Package

FA SUFFIX
PLASTIC TQFP PACKAGE
CASE 873-01
ISSUE A

17

@
'"
@
III
J:
:I:

<I~P
v ®
00
<>
<>
e-
<>

-l.-,~o-, -'H
'"
ci
-$- ----! -$-

DETAIL A

t
C

L±l + SECTIONB-B
VIEW ROTATED 90 ° CLOCKWISE
SEATING
PLANE

NOTES: MILLIMETERS INCHES


1. DIMENSIONING AND TOlERANCING PER ANSI DIM MIN MAX MIN MAX
Y14.5M,1982. A 6.95 7.10 0.274 0.280
2, CONTROLLING DIMENSION: MILLIMETER. B 6.95 7.10 0.274 0.280
3. DATUM PLANE -H-IS LOCATED AT BOTTOM OF C 1.40 1.60 0.055 0.063
LEAD AND IS COINCIDENT WITH THE LEAD 0 0.273 0.373 0.010 0.015
WHERE THE LEAD EXITS THE PLASTIC BODY AT E 1.30 1.50 0.051 0.059
THE BOTTOM OF THE PARTING LINE.
4. DATUMS -A-, -8- AND -0- TO BE DETERMINED
F 0.273 - 0.010 -
AT DATUM PLANE -H-. G 0.80BSC 0.031 Bse
5. DIMENSIONS S AND V TO BE DETERMINED AT H 0.20 0.008
SEATING PLANE-C-. J 0.119 0.197 O.OOS 0.008
6. DIMENSIONS A AND B DO NOT INCLUDE MOLD K 0.33 0.57 0.013 0.022
PROTRUSION. ALLOWABLE PROTRUSION IS 0,25 L 5.6 REF 0.220 REF
(0.010) PER SIDE. DIMENSIONS A AND B DO M 6° 8° 6° 8°
INCLUDE MOlD MISMATCH AND ARE N 0.119 0.135 0.005 0.005
DETERMINED AT DATUM PLANE -H-. P 0.40 Bse 0.016Bse
7. DIMENSION D DOES NOT INCLUDE DAMBAR Q 5° 10° 5° 10°
DETAILC PROTRUSION. ALLOWABLE DAMBAR R 0.15 0.25 0.006 0.010
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN S 8.85 9.15 0.348 0.360
EXCESS OF THE D DIMENSION AT MAXIMUM T 0.15 0.25 0.006 0.010
MATERIAL CONDITION. DAM BAR CANNOT BE U jjO 11°
5° 5°
LOCATED ON THE LOWER RADIUS OR THE FOOT.
V 8.85 9.15 0.348 0.360
X 1.00 REF 0.039 REF

Motorola Master Selection Guide 3.1-73 Logic: Standard, Special and Programmable
40-Pin Packages

J SUFFIX
CERAMIC DIP PACKAGE
CASE 734-04
ISSUE D

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5.1973.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DIMENSIONS A AND 8 INCLUDE MENISCUS.
4. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 51.31 53.24 2.020 2.096
B 12.70 15.49 0.500 0.610
C 4.06 5.84 0.160 0.230
0 0.38 0.56 0,015 0.022
F 127 1.65 0.050 0.065
G 2.54BSC 0.100BSC
J 0.20 0.30 0.008 0.012
K 3.18 4.06 0.125 0.160
L 15.24 BSC 0.600BSC
M 5° 15° 5° 15°
N 0.51 1.27 0.020 0.050

1$10 o.25(o.o10)®ITI A ® 1

N SUFFIX
PLASTIC DIP PACKAGE
CASE 711-03
ISSUEC

NOTES:
1. POSITIONAL TOLERANCE OF LEADS (D). SHALL
BE WrTHlN 0.25 (0.010) AT MAXIMUM MATERIAL
CONDITION. IN RELATION TO SEATING PLANE
AND EACH OTHER.
2. DIMENSION L TO CENTER OF LEADS WHEN
FORMED PARALLEL.
3. DIMENSION B DOES NOT INCLUDE MOLD
FLASH.

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 51.69 52.45 2.035 2.065
B 13.72 14.22 0.540 0.560
C 3.94 5.08 0.155 0.200
0 0.36 0.56 0.014 0.022
F 1.02 1.52 0.040 0.060
G 2.54BSC 0.100 BSC
H 1.65 2.16 0.065 0.085
J 0.20 0.38 0.008 0.015
K 2.2 3.43 .11 0.135
L 15.24BSC 0.600BSC
M 0° 15° 0° 15°
N 0.51 1.02 0.020 0.040

Logic: Standard, Special and Programmable 3.1-74 Motorola Master Selection Guide
48-Pin Packages

J SUFFIX
CERAMIC DIP PACKAGE
CASE 74Q-03
ISSUE B

I- [±] "I NOTES:


1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M, 1982.

I~: : : :1::I:::::IT
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 2.376 2.424 60.36 61.56
B 0.576 0.604 14.64 15.34
C 0.120 0.127 3.05 4.31
D 0.Q15 0.021 0.381 0.533
E 0.050 BSC 1.27BSC
F 0.030 0.055 0.762 1.397
G 0.100BSC 2.54 BSC
J 0.008 0.Q13 0.204 0.330
K 0.100 0.165 2.54 4.19
L 0.600 BSC 15.24BSC
M 0° 10° 0° 10°
N 0.040 0.060 1.016 1.524

N SUFFIX
PLASTIC DIP PACKAGE
CASE 767--02
ISSUE B

t::::::::::::::::::J +
NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARAlLEL.
DETAIL X 4. DIMENSIONS A AND B DO NOT INCLUDE MOLD
FLASH. MAXIMUM MOLD FLASH 0.25 (0.010).

INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 2.415 2.445 61.34 62.10
B 0.540 0.560 13.72 14.22
C 0.155 0.200 3.94 5.08
D 0.014 0.022 0.36 0.55
F 0.040 0.060 1.02 1.52
G 0.100 BSC 2.54BSC
H 0.070 BSC 1.79BSC
J 0.008 0.Q15 0.20 0.38
K 0.115 0.150 2.92 3.81
o 32PL 1$10.25(0.010)@ITIB ®I
L
M
0.600 BSC
15°
15.24 BSC
0° 15°

1$10.51 (0.020)@ITI A ® 1 N n.n2n .040 0.51 1.01

Motorola Master Selection Guide 3.1-75 Logic: Standard, Special and Programmable
52-Pin Packages

FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 77B-<l2
ISSUEC

B 1$1 0.007(0.18)@ITIL-M®IN®1

1 roo /YBRK D
u 1$1 0.007(0.18)@ITIL-M®IN®1

r±L ~
r t
~W

52/ ~IJ --v t D


G1
1$10.010(0.25)®ITIL-M®IN®1
VIEWD-D

NOTES:
i:o;---------+-A 1$1 0.007(0.18)@ITIL-M®IN®1 1. DATUMS -L-, -M-, AND -N- DETERMINED WHERE
TOP OF LEAD SHOULDER EXITS PLASTIC BODY AT
z MOLD PARTING LINE.
2. DIMENSIoN G1, TRUE POSITION TO BE MEASURED
I(;I--------oo-tt-R 1$1 0.007(0.18)@ITIL-M®IN®1 AT DATUM-T-, SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE MOLD
FLASH. ALLOWABLE MOLD FLASH IS 0.010 (0.250)
PER SIDE.
4. DIMENSIONING AND TOLERANCING PER ANSI
c ~.004 (0.100) 1
Y14.SM,I982.

t'
J
VIEWS
-T- SEAT1NG
PLANE
5. CONTROLLING DIMENSION: INCH.
6. THE PACKAGE TOP MAY BE SMALLER THAN THE
PACKAGE BOTTOM BY UP TO 0.012 (0.300).
DIMENSIONS R AND U ARE DETERMINED AT THE
OUTERMOST EXTREMES OF THE PLASTIC BODY
EXCLUSIVE OF MOLD FLASH, TIE BAR BURRS,
GATE BURRS AND INTERLEAD FLASH, BUT
INCLUDING ANY MISMATCH BETWEEN THE TOP
AND BOTTOM OF THE PLASTIC BODY.
7. DIMENSION H DOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROTRUSION(S) SHALL NOT CAUSE THE H
DIMENSION TO BE GREATER THAN 0.037 (0.940).
~ H 1$10.007 (0.18)@ITIL-M® 1N® 1 THE DAMBAR INTRUSION(S) SHALL NOT CAUSE
THE H DIMENSION TO BE SMALLER THAN 0.025
(0.635).

~
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 0.785 0.795 19.94 20.19
B 0.785 0.795 19.94 20.19
KDI-- F 1$1 0.007(0.18)@ITIL-M®IN®1 C
E
0.165 0.180
0.090 0.110
4.20
2.29
4.57
2.79
F 0.013 0.019 .33 0.48
VIEWS G 0.050 SSC 1.278SC
H 0.026 0.032 0.66 0.81
J 0.020 0.51
K 0.025 - 0.64 -
R 0.750 0.756 19.05 19.20


U 0.750 0.756 19.05 19.20
V 0.042 0.048 1.07 1.21
W 0.042 0.048 1.07 1.21
X 0.042 0.056 1.07 1.42
Y 0.020 0.50
Z 2° 10° 2° 10°
Gl 0.710 0.73 18.04 18.54
Kl 0.040 1.

Logic: Standard. Special and Programmable 3.1-76 Motorola Master Selection Guide
52-Pin Packages

FJ SUFFIX
PLASTIC PLCC PACKAGE
CASE 778B-01
ISSUE 0

~----4-A-~----~
NOTES:
1 DIMENSIONING AND TOLERANCING PER ANSI
Y14.SM, 1982
2. CONTROLLING DIMENSION: INCH
3. DIMENSION RAND N DO NOT INCLUDE GLASS
PROTRUSION. GLASS PROTRUSION TO BE 0.25
(0.0101 MAXIMUM.
4. ALL DIMENSIONS AND TOLERANCES INCLUDE

o
LEAD TRIM OFFSET AND LEAD FINISH
INCHES MilLIMETERS
DIM MIN MAX MIN MAX
N I-B-I A 0.785 0.795 19.94 20.19
B 0.785 0.795 19.94 20.19
C 0.165 0.200 4.20 5.08

U
0 0.017 0.021 0.44 0.53
F 0.026 0.032 0.67 0.81
G 0.050BSC 1.27 Bse
H 0.090 0.130 2.29 3.30
J 0.006 0.010 0.16 0.25
K 0.035 0.045 089 1.14
N 0.735 0.756 18.67 19.20
R 0.735 0.756 18.67 19.20
S 0.690 0.730 17.53 18.54

~------s------~ 1$1 O.18(O.007)@ITIA®1 B®I

Motorola Master Selection Guide 3.1-77 Logic: Standard, Special and Programmable
52-Pin Packages

FA SUFFIX
PLASTIC TQFP PACKAGE
CASE 8480-03
ISSUEC

VIEWY

PLATING~ BASE METAL

~~j
fl-O-J f
1-$1 O.13(O.005)@ITI L-M®I N®I
SECTION AB-AB
ROTATED 90' CLOCKWISE

NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI Y14.5M,
1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DATUM PLANE -H-IS LOCATED AT BOTTOM OF LEAD
AND IS COINCIDENT WITH THE LEAD WHERE THE LEAD

~--kiooooooou:~>-_Q
EXITS THE PLASTIC BODY AT THE BOTTOM OF THE
PARTING LINE.
4. DATUMS-L-,-M-AND-N- TO BE DETERMINED AT
DATUM PLANE-I+-.

FiR ''' ...., 5. DIMENSIONS S AND V TO BE DETERMINED AT SEATING


PLANE-T-.

~G f _d~t
6. DIMENSIONS A AND B 00 NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS 0.25 (0.010)
4xe3 PER SIDE. DIMENSIONS A AND B DO INCLUDE MOLD
PLANE MISMATCH AND ARE DETERMINED AT DATUM PLANE ·H·.
7. DIMENSION 0 DOES NOT INCLUDE DAMBAR
VIEWAA PROTRUSION. DAMBAR PROTRUSION SHALL NOT
CAUSE THE LEAD WIDTH TO EXCEED 0.46 (0.018).
MINIMUM SPACE BETWEEN PROTRUSION AND
ADJACENT LEAD OR PROTRUSION 0.07 (0.003).

MIL ERS INCI


DIll I

A1 5.00
B ).00 0.394
B1 5.00 0.19:
1.70 0.06i
0.05 0.20 0.002 0.' 08

0,20
0.46 0.75 0.018 O. GO
0.22 0.35 ).009
0.65BSC 0.02 BS'
0.07 0.20 0.003 0.008
0.50 REF 0.020 REF

12.Il!tBSC
VIEWAA


6.00BSC 0.236BSC
0.09 ).16 0.004 0.008
12.00 BSC 0.472 BSC
tOOBSC .23IBSC

- -
12' REF 12' REF
93 13' 13°

Logic: Standard, Special and Programmable 3.1-78 Motorola Master Selection Guide
68-Pin Package

FN SUFFIX
PLASTIC PLCC PACKAGE
CASE 779-02
ISSUE C

81$1 0.007(0.18)®ITI L-M® 1N®I


YBRK ul$1 0.007(0.18)@ITIL-M®IN®1
D

D G1
1$1 0.010 (0.25)®1 TI L-M®I N®I
VIEWD-D

NOTES:
1. DATUMS -l-. -M-, AND -N- DETERMINED WHERE TOP OF
lEAD SHOULDER EXITS PLASTIC BODY AT MOLD
PARTING LINE.
z,.... $10.007(0.18)®ITIL-M®IN®1 2. DIMENSION Gl, TRUE POSITION TO BE MEASURED AT
DATUM - T-, SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE MOLD FLASH.
AllOWASlE MOLD FLASH IS 0.010 (0.250) PER SIDE.
4. DIMENSIONING AND TOlERANCING PER ANSI Y14.5M,
1982.
o
1
5. CONTROlliNG DIMENSION: INCH.
6. THE PACKAGE TOP MAY BE SMAllER THAN THE

I. ;:n:-- 'i t' lii1.


PACKAGE BOTTOM BY UP TO 0.012 (0.300). DIMENSIONS
RAND U ARE DETERMINED AT THE OUTERMOST
004 (0.10) 1
c" J -T- SEATING
PLANE
EXTREMES OF THE PLASTIC BODY EXCLUSIVE OF MOLD
FLASH. TIE BAR BURRS, GATE BURRS AND INTERlEAD
FlASH, BUT INCLUDING ANY MISMATCH BETWEEN THE
G1----..{ VIEWS TOP AND BOTTOM OF THE PLASTIC BODY.
7. DIMENSION H DOES NOT INCLUDE DAMBAR
PROTRUSION OR INTRUSION. THE DAMBAR
PROTRUSION(S) SHAll NOT CAUSE THE H DIMENSION
TO BE GREATER THAN 0.037 (0.940). THE DAMBAR
INTRUSION(S) SHAll NOT CAUSE THE H DIMENSION TO
BE SMAllER THAN a025 (0 635)
INCHES MilliMETERS
0111 IIIN MAX MIN MAX
A 0.985 0.995 25.02 25.27
B 0.985 0.995 25.02 25.27
C 0.165 0.180 4.20 4.57
E 0.090 0.110 2.29 2.79
F 0.013 0.019 0.33 0048
G 0.050 BSC 1.27BSC
H 0.026 0.032 0.66 0.81
J 0.020 0.51
K 0.025 0.64
R 0.950 0.956 24.13 24.28
U 0.950 0.956 24.13 24.28
V 0.042 0.048 1.07 1.21
W 0.042 0.048 1.07 1.21
I-FI$I 0.007(0.18)@ITIL-M®IN®1 X 0.042 0.056 1.07 1.42
Y 0.020 0.50
Z 2° 10° 2° 10°
VIEWS Gl 0.910 0.930 23.12 23.62
Kl 0.040 1.02

Motorola Master Selection Guide 3.1-79 Logic: Standard. Special and Programmable
Programmable Array
84-Pin Package

Figure 18. FN SUFFIX


PLASTIC PLCC PACKAGE
CASE 780-01
ISSUE A
BI*I 0.007(0.18)@lrIL-M®IN®1
ul*l 0.007(0.18)@ITIL-M®IN®1

Tt

D X G1
1$10.010(0.25)®lrIL-M®IN®1
A VIEWD-D
1$10.007 (0.18)@lrl L-M®I N®I H 1$1 0.007(0.18)@lrIL-M®IN®1

R VIEWS
1*1 0.007(0.18)@ITI L-M®I N®I
K1

[1Jo.o04 (0.10) 1 VIEWS


T SEATING
G1 PUNE

1*1 0.010 (0.25)®1 TI L-M®I N®I NOTES:


1. DATUMS -L-. -M-. -N-. AND-P-
DETERMINED WHERE TOP OF LEAD
SHOULDER EXITS PACKAGE BODY AT MOLD
PARTING UNE.
2. DIMENSION Gl. TRUE POSITION TO BE
MEASURED AT DATUM -T-. SEATING PLANE.
3. DIMENSIONS RAND U DO NOT INCLUDE
MOLD FLASH. ALLOWABLE MOLD FLASH IS
0.010 (0.25) PER SIDE. INCHES MiLLIMETERS
4. DIMENSIONING AND TOLERANCING PER l!l!M r.!!li MAX MIN MAX
ANSI YI4.5M. 1982. A 1.185 1~ LaO.l0 30~5
5. CONTROLUNG DIMENSION: INCH. B .185 1~ ~ ~
6. THE PACKAGE TOP MAY BE SMALLER THAN C .16 .1 4.20 4.7
THE PACKAGE BOTTOM BY UP TO 0.012 E .11 2.29 2.79
10.300). DIMENSIONS RAND UARE F 0.013 0,019 0.33 0.48
DETERMINED AT THE OUTERMOST G 0.050BSC 1.27BS
EXTREMES OF THE PLASTIC BOOY H 0.026 0.032 -'l.BIl_ J.81
EXCLUSIVE OF MOLD FLASH. TIE BAR 0.020 0.51
BURRS. GATE BURRS AND INTERLEAD 0.025 0.64
FLASH. BUT INCWDING ANY MISMATCH R 1.1 1.1 1
BETWEEN THE TOP AND BOTTOM OF THE 2.
PLASTIC BODY. U 1.1 1.1 1
7. DIMENSION H DOES NOT INCLUDE DAMBAR -.lL ~ .048 1.07 1.21
PROTRUSION OR INlRUSION. THE DAMSAR W ~ ~ 107 1.21
PROTRUSION(5) SHALL NOT CAUSE THE H X 0.042 0.056 1.07 1.42
DIMENSION TO BE GREATER THAN 0.037 Y 0.50
(0.94). THE DAMBAR INTRUSIONIS) SHALL Z 2· 1• 2· 1•
NOT CAUSE THE H DIMENSION TO BE Gl 1.110 1.13 2 7
SMALLER THAN 0.025 (0.635). Kl 0.040 1.02

Logic: Standard, Special and Programmable 3.1-80 Motorola Master Selection Guide
Programmable Array
128-Pin Package

Figure 19. DO SUFFIX


PLASTIC QFP PACKAGE
CASE 862A-02
ISSUE B

@
'"
@
~'
~
<D
.l:
0
v
'"N
0
®
00 DETAIL A
0 0

o
e.. C!
e-
~ '"
0
ci
0
N
ci

e- -j e- NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M,1982.
2. CONTROLLING DIMENSION: MILLIMETER
3. DATUM PLANE -H-IS LOCATED AT BOTTOM OF
LEAD AND IS COINCIDENT WITH THE LEAD
WHERE THE LEAD EXITS THE PLASTIC BODY AT
THE BOTTOM OF THE PARTING LINE.
4. DATUMS -A-, -B- AND -D-TO BE DETERMINED
AT OATUM PLANE -H-.
5. DIMENSIONS S AND V TO BE DETERMINED AT
SEATING PLANE -C-.
6. DIMENSIONS A AND B DO NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS
0.25 (0.010) PER SIDE. DIMENSIONS A AND B DO
INCLUDE MOLD MISMATCH AND ARE
DETERMINED AT DATUM PLANE -H-.
7. DIMENSION D DOES NOT INCLUDE DAMBAR
PROTRUSION. ALLOWABLE DAMBAR
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN
EXCESS OF THE D DIMENSION AT MAXIMUM
MATERIAL CONDITION. DAM BAR CANNOT BE
LOCATED ON THE LOWER RADIUS OF THE
FOOl

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 27.90 28.10 1.098 1.106
B 27.90 28.10 1.098 1.106
C 4.07 0.160
H D 0.30 0.45 0.012 0.018
E 3.17 3.67 0.125 0.144
F 0.30 OAO 0.012 0.016
G 0.80 BSC 0.032 BSC
H 0.25 0.35 0.010 0.014
J 0.13 0.23 0.005 0.009

L~~
K 0.65 0.95 0.026 0.037
L 24.80 REF 0.976 REF
M 5° 16° 5° 16°
N 0.13 0.17 0.005 0.007
J N P OAOBSC 0.016BSC
Q Q 0° 7° 0° 7°

t l- -J'1"
D ~~~L
R
S
T
U
0.13 0.30
30.95 31.45
0.13

0.005 0.012
1.219 1.238
0.005

Ie-I o.20(o.o08)@ICI A-B ®ID ®I W
V 30.95
0.40
31.45 1.219 1.238
0.016
X 1.60 REF 0.063 REF
DETAILB DETAILC Y 1.60 REF 0.063 REF
Z 1.60 REF 0.063 REF

Motorola Master Selection Guide 3.1-81 LogiC: Standard, Special and Programmable
Programmable Array
160-Pin Package

Figure 20. DH SUFFIX


PLASTIC QFP PACKAGE
CASE 864A-03
ISSUE C

1-1-- - - L----+l,,1 I
---------------y

8 8
Cl Cl

8 8
en en
..!: ..!:
:r: en <.)

® ..!: V ®
00
0
0
~ 00
0
C! 0
2- s 2-
0 0 0
'"
0
"! '"
-$ --j -$
DETAIL A

1$1 0.20(0.008)@I CIA-B ®I D®I


JDETAILC

Jb'--m-.-mw-mm-_-.~~) 00 1-$1 0.13 (o.OOS)@ICI A-B ® 1 D ®I

SECTION 8-8

MILLIMETERS INCHES
DIM MIN MAX MIN MAX
A 27.90 28.10 1.098 1.106
NOTES: B 27.90 28.10 1.098 1.106
1. DIMENSIONING AND TOLERANCING PER ANSI C 3.35 3.85 0.132 0.152
Y14.5M,1982. D 0.22 0.38 0.009 0.Q15
2. CONTROLLING DIMENSION: MILLIMETER. E 3.20 3.50 0.126 0.138
3. DATUM PLANE -H-IS LOCATED AT BonOM OF F 0.22 0.33 0.009 0.013
LEAD AND IS COINCIDENT WITH THE LEAD G 0.65BSC 0.026 REF
WHERE THE LEAD EXITS THE PLASTIC BODY AT H 0.25 0.35 0.010 0.014
THE BonOM OF THE PARTING LINE. 0.11 0.004
J 0.23 0.009
4. DATUMS -A-, -B- AND -0- TO BE DETERMINED
AT DATUM PLANE -H-. K 0.70 0.90 0.028 0.035
5. DIMENSIONS S AND V TO BE DETERMINED AT L 25.35 REF 0.998 REF
SEATING PLANE -C-. M 5° 16° 5° 16°
6. DIMENSIONS A AND B DO NOT INCLUDE MOLD N 0.11 0.19 0.004 0.007
PROTRUSION. ALLOWABLE PROTRUSION IS 0.25 P 0.325 BSC 0.013BSC
(0.010) PER SIDE. DIMENSIONS A AND B DO Q 0° 7° 0° 7°
INCLUDE MOLD MISMATCH AND ARE R 0.13 0.30 0.005 0.012
DETERMINED AT DATUM PLANE -H-. S 31.00 31.40 1.220 1.236
7. DIMENSION D DOES NOT INCLUDE DAMBAR T 0.13 0.005 -
PROTRUSION. ALLOWABLE DAM BAR
PROTRUSION SHALL BE 0.08 (0.003) TOTAL IN
U 0° - 0° -
V 31.00 31.40 1.220 1.236
EXCESS OF THE D DIMENSION AT MAXIMUM W 0.40 0.016
MATERIAL CONDITION. DAMBAR CANNOT BE X 1.60 REF 0.063 REF
LOCATED ON THE LOWER RADIUS OR THE FOOT. y
DETAILC 1.33 REF 0.052 REF
Z 1.33 REF 0.052 REF

Logic: Standard, Special and Programmable 3.1-82 Motorola Master Selection Guide
Programmable Array
181-Pin Package

Figure 21. HI SUFFIX

• • ~
PIN GRID ARRAY PACKAGE
CASE 795A-02
ISSUE A
'"
'" •
'"
'" ",
. / 1 111
~"
"
"
"

NOTES:
1. DIMENSIONING AND TOLERANCING PER
4X F ANSI Y14.5M. 1982.
2. CONTROLLING DIMENSION: INCH.
3. MARKING SHOWN FOR INFORMATION ONLY.
G NOT ON ACTUAL PART.
T @@@@@@@@@@@@@@
INCHES MILUMETERS
R @@@@@@@@@@@@@@@
P @@@@@@@@@@@@@@@@
DIM MIN MAX MIN MAX
N @@@ @@@@@@ @@@
G A 1.640 1.680 41.66 42.67
M @@@
B 1.640 1.680 41.66 42.67
L @@@@
C 0.088 0.112 2.24 2.84
INPVSS K @@@@
D 0.017 0.019 0.43 0.48
INrZ2f F 0.043 0.057 1.09 1.45
r.z:;3 J @@@@

IZ2I ~ H
G
@@@@ + G
K
0.100BSC
0.163 0.197
2.54 BSC
4.14 5.00
OUTV D I pvss @@@@
L 0.025 0.039 0.64 0.99
F @@@@
M 0.700 0.720 17.78 18.29
E @ @ @ 1----+---' -..@.."'-'4-L N 0.095 0.105 2.41 2.67
D @@@@ @)@@@@@ @@)@

1
C @@@@@@@@@@@@@@@@
P 0.035 0.045 0.89 2.41
B @@@@@@@@@@@@@@@@
R 1.095 1.105 27.81 28.07
A [;J@@@@@@@@@@@@@@@
S 0.195 0.205 4.95 5.21

1 2 3 4 5 6 7 8 9 10 12 14 16
181X D
SIj,A~~ -T- 101 o.014(o.36)@ITI A CDI B CD I

Motorola Master Selection Guide 3.1--83 Logic: Standard, Special and Programmable
Programmable Array
208-Pin Package

Figure 22. OK SUFFIX


PLASTIC QFP PACKAGE
CASE 872A-01
ISSUE 0

Yll ..
1+------ L.------~

15

~~ ~~~~~
NOTES:
1. DIMENSIONING AND TOlERANCING PER ANSI
Y14.SM.1982.
2. CONTROLLING DIMENSION: MILLIMETER.
3. DATUM PLANE -H-IS LOCATED AT BOTTOM OF
LEAD AND IS COINCIDENT WITH THE LEAD
e
Cl
e
Cl
WHERE THE LEAD EXITS THE PLASTIC BODY AT
THE BOTTOM OF THE PARTING LINE.
4. DATUMS -p.-. -B- AND -D- TO BE DETERMINED
AT DATUM PLANE -H-.
® 0 5. DIMENSIONS S AND V TO BE DETERMINED AT
SEATING PLANE-o-.
'"
.l: '"
.l: 6. DIMENSIONS A AND B DO NOT INCLUDE MOLD
PROTRUSION. ALLOWABLE PROTRUSION IS
::t: (.)

® ~
V 0.25 (0.010) PER SIDE. DIMENSIONS A AND B
® DO INCLUDE MOLD MISMATCH AND ARE
DETERMINED AT DATUM PLANE-H-.
00 N 00

L.
0 0 0 7. DIMENSION D DOES NOT INCLUDE DAMBAR
0 0 0
PROTRUSION. DAMBAR PROTRUSION SHALL
e. e.
0
e.
0
NOT CAUSE THE D DIMENSION TO EXCEED

0 '"
0.38(0.015).
'" 0
0
'"
0
MlLJ.IMmRS INCHES
-$ -1 -$ DIM MIN MAX MIN MAX
A 27.90 28.10 1.098 1.108
53 B 27.90 28.10 1.098 1.106

flijnmiiiiiiiiliiiiiiiiiinJiiiiiiiiiiiiiiiiiiiiiiiiiifl C
D
3.45
0.14
4.10
0.30
0.136
O.OOS
0.161
0.012
52 E 3.20 3.60 1.126 0.142
z F 0.14 0.26 0.005 0.010
G O.SOBSC 0.020 Bse
H 0.25 0.35 0.010 0.014
J 0.09 0.20 0.003 o.oOB
K 0.70 0.90 0.027 0.036
L 25.50 REF 1.004 REF
M 5° 9° 5° 9°
N 0.09 0.18 0.003 0.007
P O.25BSC 0.010BSC
s R
Q 0°
0.13

0.30

O.OOS

0.012
1$1 0.20(0.008)@I CIA-B® 1D®I S 31.00 31.40 1.220 1.236
T 0.13 0.005
U 0° - 0° -

jltJ.
M ZDETAILC V 31.00 31.40 1.220 1.236

~tIll~
W 0.40 0.016
X 1.60 REF 0.063 REF
E --U3B Y 1.25 REF 0.049 REF

~DDnmDDum~ummmDDumDD~~LI-r-r~~~ Z 1.25 REF 0.049 REF

S~TI~ H G '\ 1010.10(0.004)1


PLANE DETAIL B M

I-A-, -B-, -D-I 1$1 0.06 (Q.002)®1 ci A-B ® 1D®I


DETAILB
SECTIONB-B
ROTATED 7 ° CCW
DETAIL A DETAILC

Logic: Standard, Special and Programmable 3.1-84 Motorola Master Selection Guide
Programmable Array
224-Pin Package

Figure 23. KE SUFFIX


PIN GRID ARRAY PACKAGE
CASE 8238-01
ISSUE 0

A
I-A I
-,Kr
11C-~
F4PL G
........
.•......
NOTES:

.......
....... ..
1. DIMENSIONING AND TOLERANCING PER ANSI

r
YI4.5M,1982 .
2. CONTROLLING DIMENSION: INCH .

r G

D u1
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
B A 1.726 1.744 43.84 44.30
I-B-I M B
C
1.726 1.744
0.095 0.120
43.84 44.30
2.41 3.05
D 0.018 0.46

....... ... ........


....... ........ F 0.050 1.27

.•..... ......•. K
L
G 0.100BSC
0.283 0.339
0.043 0.057
2.54BSC
7.19
1.09
8.61
1.45
M 0.665 0.885 21.97 22.48
N 0.080 0.100 2.03 2.54

Programmable Array
299-Pin Package

Figure 24. HV SUFFIX


PIN GRID ARRAY PACKAGE
CASE 861A-Q1
ISSUE 0

A NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
A YI4.5M,1982.
G 2. CONTROLLING DIMENSION: INCH.
000000000000000000' INCHES MILLIMETERS
0000000000000000000
00000000000000000000 DIM IIIN MAX MIN MAX
00000000000000000000 G A 2.040 2.060 51.62 52.83
~~~~~D0000000000~~~~~
B 2.040 2.060 51.82 52.83
C 0.110 0.140 2.80 3.55
00000 00000 D 0.017 0.019 0.43 0.48
00000 00000 G 0.100 BSC 2.54 BSC

m[~
00000 00000
00000 00000 L 0.150 0.170 3.81 4.31
00000 0@000
00000 00000
00000 00000
00000' 00000
PINA1~
00000000000000000000
INDICATOR 00000000000000000000

Motorola Master Selection Guide 3.1-85 Logic: Standard, Special and Programmable
Packaging Information
Surface Mount
Why Surface Mount? stacked closer together and utilize less total volume than
insertion populated PC boards.
Surface Mount Technology is utilized to offer answers to
Printed circuit costs are lowered with the reduction of the
many problems that have been created in the use of insertion
number of board layers required. The elimination or reduction
technology.
of the number of plated through holes in the board, contributes
Limitations have been reached with insertion packages
significantly to lower PC board prices.
and PC board technology. Surface Mount Technology
Automatic placement equipment is available that can place
offers the opportunity to continue to advance the state-
Surface Mount components at the rate of a few thousand per
of-the-art designs that cannot be accomplished with
hour to hundreds of thousands of components per hour.
Insertion Technology.
Surface Mount Technology is cost effective, allowing the
Surface Mount Packages allow more optimum device
manufacturer the opportunity to produce smaller units and/or
performance with the smaller Surface Mount configuration.
offer increased functions with the same size product.
Internal lead lengths, parasitic capacitance and inductance
Surface Mount assembly does not require the preparation
that placed limitations on chip performance have been
of components that are common on insertion technology lines.
reduced.
Surface Mount components are sent directly to the assembly
The lower profile of Surface Mount Packages allows more
line, eliminating an intermediate step.
boards to be utilized in a given amount of space. They are

Pin Conversion Tables


Dual-in-Line Package to PLCC Pin Conversion Data
The following table gives the equivalent I/O pinouts of Dual-ln-Line Package (DIP) configuration and Plastic Leaded Chip
Carrier (PLCC) packages.'

Conversion Tables
8PIN DIP 8
20 PIN PLCC 20

14 PIN DIP 14
20 PIN PLCC 20

16 PIN DIP 16
20 PIN PLCC 20

20 PIN DIP 20
20 PIN PLCC 20

24 PIN DIP 24
28 PIN PLCC 28
• The MC1648 has a Non-Standard Conversion Table. For more information, refer to the Motorola MECL Data Book, DL 12210.

Logic: Standard, Special and Programmable 3.1-86 Motorola Master Selection Guide
Tape and Reel
Logic Integrated Circuits
Motorola's tape and reel packaging fully conforms to the latest EIA RS-481 A specification. The antistatic embossed tape pro-
vides a secure cavity sealed with a peel-back cover tape.

Mechanical Polarization

Typical

PLCC Devices

View from
tape side

.
Linear direction of travel

Typical
sOle Devices I I
I I I I I I I I
0-0-0-0-0-0-0-0

'99lB ' .
View from
tape side

Linear direction of travel

General Information
-Reel Size 13 inch (330 mm) Suffix: R2 - Units/Reel 500 to 5000 (see table)
-Tape Width 12 mm to 24 mm (see table)

Ordering Information
To order devices which are to be delivered in Tape and Reel, add the suffix R2 to the device number being ordered.

Tape and Reel Data


Tape Width Reel Size Min Lot Size Per Part No.
Device Type (mm) Device/Reel (inch) Tape and Reel
PLCC-20 16 1,000 13 3,000
PLCC-28 24 500 13 500
S0-8 12 2,500 13 5,000
S0-14 16 2,500 13 5,000
S0-16 16 2,500 13 5,000
S0-16 Wide 16 1,000 13 5,000
S0-20Wide 24 1,000 13 5,000

Motorola Master Selection Guide 3.1-87 Logic: Standard, Special and Programmable
Logic Literature Listing
For additional information, refer to the following Motorola Logic Documents, available through
the Literature Distribution Center.

LOGIC NEW PRODUCT CALENDAR


BR1332/D ....................... logic Quarterly New Product Calendar

DATA BOOKS
BR13331D ....................... Timing Solutions
BR13341D ....................... High Performance Frequency Control Products
BR133S/D ....................... low Voltage logic
BR1339/D ....................... lCX Data
Dl121/D .. .. . .. .. . .. . . .. .. . .. . ... FAST and lS TTL Data
Dl1221D . .. .. . .. .. . .. . . . .. .. .. ... MECl Data
DL129/D . .. .. . . . .. . .. . . .. . .. .. ... High-Speed CMOS Data
DL131/D ......................... CMOS logic Data
DL138/D .. . .. .. .. .. .. .. .. . .. .. ... FACT Data
Dl140/D . . . . . . . . . . . . . . . . . . . . . . . .. High Performance ECl Data - ECLinPS and ECLinPS Lite
Dl2011D . . . . . . . . . . . . . . . . . . . . . . . .. MPA - Motorola Programmable Arrays

DESIGN HANDBOOKS
HB20SID ........................ MECl Systems Design Handbook

APPLICATION NOTES
AN1091/D low Skew Clock Drivers and Their System DeSign Considerations
AN1092/D Driving High Capacitance DRAMs in an ECl System
AN1400/D H64x Clock Driver 1/0 SPICE Modelling Kit
AN1401/D Using SPICE to Analyze the Effects of Board Layout on System
Skew When DeSigning With the MC10/100640 Family of Clock Drivers
AN1402/D MC10/100H600 Translator Family 1/0 SPICE Modelling Kit
AN1403/D FACT'M 1/0 Model Kit
AN1404/D ECLinPSTM Circuit Performance at Non-Standard VIH levels
AN140S/D ECl Clock Distribution Techniques
AN1406/D DeSigning With PECl (ECl at +S.OV)
AN1407/D Performance Testing With the AlExlS™ Mini-Evaluation Boards
AN1408/D Power Dissipation for Active SCSI Terminators
AN1410/D Configuring and Applying the MCS4174HC4046A Phase-locked loop
AN1S03lD ECLinPSTM 1/0 SPICE Modelling Kit
AN1S04/D Metastability and the ECLinPSTM Family

OTHER DOCUMENTATION
SG36S/D ........................ Timing Solutions Folder Selector Guide
BR1341/D ....................... Motorola Programmable Array Update Folder

How to reach us:


USA/EUROPE: Motorola literature Distribution; JAPAN: Nippon Motorola Ltd.; Talsumi-SPO-JLDC. Toshikatsu Otsuki.
P.O. Box 20912; Phoanix, Arizona 85036. 1-800-441-2447 6F Seibu-Butsuryu-Center. 3-14-2 Talsumi Koto-Ku, Tokyo 135, Japan. ~1-&15

MFAX: RMFAXO@email.sps.mol.com- TOUCHTONE (602) 244-6609 HONG KONG: Motorola Semiconductors H.K. Lid.; 8B Tai Ping Industrial Park.
INTERNET: http://Design-NET.com 51 ling Kok Road, Tai Po, N.T., Hong Kong. 852-26629298

Logic: Standard, Special and Programmable 3.1--88 Motorola Master Selection Guide
Analog and Interface
Integrated Circuits

In Brief ...
Motorola Analog and Interface Integrated Circuits cover a Page
Amplifiers and Comparators ..................... 4.1-1
much broader range of products than the traditional op amps/
Power Supply Circuits. . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-1
regulators/consumer-image associated with Analog suppli-
Power/Motor Control Circuits . . . . . . . . . . . . . . . . . . . .. 4.3-1
ers. Analog circuit technology currently influences the design
Voltage References . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.4-1
and architecture of equipment for all major markets. As with
Data Conversion ............................... 4.5-1
other integrated circuit technologies, Analog circuit design
Interface Circuits ............................... 4.6-1
techniques and processes have been continually refined and
Communication Circuits ......................... 4.7-1
updated to meet the needs of these diversified markets.
Consumer Electronic Circuits .................... 4.8-1
Operational amplifiers have utilized JFET inputs for
Automotive Electronic Circuits .................... 4.9-1
improved performance, plus innovative design and trimming
Other Analog Circuits .......................... 4.10-1
concepts have evolved for improved high performance and
Tape and Reel Options ......................... 4.11-1
precision characteristics. In analog power ICs, basic voltage
regulators have been refined to include higher current and
voltage levels, low dropout regulators, and more precise
three-terminal fixed and adjustable voltages. The power area
continues to expand into switching regulators, power supply
control and supervisory Circuits, motor controllers, and battery
charging controllers.
Analog designs also offer a wide array of line drivers,
receivers and transceivers for many of the EIA, European,
IEEE and IBM interface standards. Peripheral drivers for a
variety of devices are also offered. In addition to these key
interface functions, hard disk drive read channel circuits,
1OBASE-T and Ethernet circuits are also available.
In Data Conversion, a high performance video speed flash
converter is available, as well as a variety of CMOS and
Sigma-Delta converters. Analog circuit technology has also
provided precision low-voltage references for use in Data
Conversion and other low temperature drift applications.
A host of special purpose analog devices have also been
developed. These circuits find applications in telecommunica-
tions, radio, television, automotive, RF communications, and
data transmission. These products have reduced the cost of
RF communications, and have provided capabilities in tele-
communications which make the telephone line convenient
for both voice and data communications. Analog develop-
ments have also reduced the many discrete components
formerly required for consumerfunctions to a few IC packages
and have made significant contributions to the rapidly growing
market for electronics in automotive applications.
The table of contents provides a perspective of the many
markets served by Analog/Interface ICs and of Motorola's
involvement in these areas. © MOTOROLA INC., 1996

Motorola Master Selection Guide 4.0-1 Analog and Interface Integrated Circuits
Analog and Interface Integrated Circuits 4.0-2 Motorola Master Selection Guide
Amplifiers and Comparators

In Brief ...
For over two decades, Motorola has continually refined Page
Operational Amplifiers. . . . . . . . . . . . . . . . . . . . . . . . . .. 4.1-2
and updated integrated circuit technologies, analog circuit
Single ...................................... 4.1-2
design techniques and processes in response to the needs
Dual ....................................... 4.1-3
of the marketplace. The enhanced performance of newer
Quad ....................................... 4.1-4
operational amplifiers and comparators has come through
High Frequency Amplifiers ................. '" ... 4.1-5
innovative application of these technologies, designs and
AGC ....................................... 4.1-5
processes. Some early designs are still available but are
Miscellaneous Amplifiers ........................ 4.1-6
giving way to the new, higher performance operational
Bipolar ..................................... 4.1-6
amplifier and comparator circuits. Motorola has pioneered in
CMOS ..................................... 4.1-6
JFET inputs, low temperature coefficient input stages, Miller
Comparators ................................... 4.1-7
loop compensation, all NPN output stages, dual-doublet
Single ...................................... 4.1-7
frequency compensation and analog "in-the--package"
Dual ....................................... 4.1-7
trimming of resistors to produce superior high performance
Quad ....................................... 4.1-7
operational amplifiers and comparators, operating in many
Package Overview ............................. 4.1-8
cases from a single supply with low input offset, low noise,
low power, high output swing, high slew rate and high
gain-bandwidth product at reasonable cost to the customer.
Present day operational amplifiers and comparators find
applications in all market segments including motor controls,
instrumentation, aerospace, automotive, telecommunications,
medical, and consumer products.

Motorola Master Selection Guide Analog and Interface Integrated Circuits


Operational Amplifiers
Motorola offers a broad line of bipolar operational and quad monolithic devices in a variety of temperature
amplifiers to meet a wide range of applications. From low-cost ranges and package styles. Most devices may be obtained in
industry-standard types to high precision circuits. the span unencapsulated "chip" form as well. For price and delivery
encompasses a large range of performance capabilities. information on chips. please contact your Motorola Sales
These Analog integrated circuits are available as single. dual Representative or Distributor.

Table 1. Single Operational Amplifiers


Supply
BW SR
Voltage
liB VIO TCyIO 110 Avol (Av =1) =
(Av 1)
(V)
(IJA) (mV) (liVrC) (nA) (V/mV) (MHz) (V/IiS) Suffix!
Device Max Max Typ Max Min Typ Typ Min Max Description Package

General Purpose
Precision

General Purpose

Internally Compensated
Commercial Temperature Range (O°C to +70°C)
LF351 200pA 10 10 100pA 25 4.0 13 ±5.0 ±18 JFETlnput Nl626. D1751
LF411C 200pA 2.0 10 100pA 25 8.0 25 +5.0 ±22 JFET Input. Low Offset. Nl626. D1751
Low Drift
LF441C 100pA 5.0 10 50pA 25 2.0 6.0 ±5.0 ±18 Low Power, JFET Input Nl626, D1751
LM11C 100pA 0.6 2.0 10pA 250 1.0 0.3 ±3.0 ±20 Precision N/626
LM11CL 200pA 5.0 3.0 25pA 50 1.0 0.3 ±3.0 ±20 Precision N/626
MC1436, C 0.04 10 12 10 70 1.0 2.0 ±15 ±34 High Voltage P1/626, D1751
MC1741C. 0.5 6.0 15 200 20 1.0 0.5 ±3.0 ±18 General Purpose Pl/626, D1751
MC1776C 0.003 6.0 15 3.0 100 1.0 0.2 ±1.2 ±18 IiPower, Programmable P1/626, D1751
MC3476 0.05 6.0 15 25 50 1.0 0.2 ±1.5 ±18 Low Cost, P1/626
IiPower, Programmable
MC34001 200pA 10 10 100pA 25 4.0 13 ±5.0 ±18 JFET Input P/626, D1751
MC340018 200pA 5.0 10 100pA 50 4.0 13 ±5.0 ±18 JFET Input P/626, D1751
MC34071 0.5 5.0 10 75 25 4.5 10 +3.0 +44 High Performance P/626, D1751
MC34071A 500 nA 3.0 10 50 50 4.5 10 +3.0 +44 Single Supply P/626, D1751
MC340808 200pA 1.0 10 100pA 25 16 55 ±5.0 ±22 Decompensated P/626, D1751
MC340818 200pA 1.0 10 100pA 25 8.0 30 ±5.0 ±22 High Speed, JFET Input P/626, D1751
MC34181 0.1 nA 2.0 10 0.05 25 4.0 10 ±2.5 ±18 Low Power, JFET Input P/626
TL071AC 200pA 6.0 10 50pA 50 4.0 13 ±S.O ±18 Low Noise, JFET Input P/626, D1751
TL071C 200pA 10 10 50pA 25 4.0 13 ±5.0 ±18 Low Noise, JFET Input P/626, D1751
TL081AC 200pA 6.0 10 100pA 50 4.0 13 ±S.O ±18 JFET Input P/626, D1751
TL081C 400pA 15 10 200pA 25 4.0 13 ±5.0 ±18 JFET Input P/626, D1751
Automotive Temperature Range (-40°C to +85°C)
MC33071 0.5 5.0 10 75 25 4.5 10 +3.0 +44 High Performance P/626, D1751
MC33071A 500nA 3.0 10 50 50 4.5 10 +3.0 +44 Single Supply P/626, D1751
MC33171 0.1 4.5 10 20 50 1.8 2.1 +3.0 +44 Low Power, Single Supply P/626, D1751
MC33181 0.1 nA 2.0 10 0.05 25 4.0 10 ±2.5 ±18 Low Power, JFET Input P/626, D1751

Low V Rail-ta-RaW"

1 MC33201 1400 nA 1 9.0 1 2.0 1 200 50 2.2 1.0 1 ±O.9 1 ±6.0 1 Low V Rail-ta-RaiIT" P/626. D1751

Analog and Interface Integrated Circuits 4.1-2 Motorola Master Selection Guide
Table 2. Dual Operational Amplifiers
Supply
BW SR
Voltage
liB VIO TCVIO 110 Avol (Av=1) (Av= 1)
(V)
(IlA) (mV) (IlV/'C) (nA) (V1mV) (MHz) (V/IlS) Suffix!
Device Max Max Typ Max Min Typ Typ Min Max Description Package

Internally Compensated
Commercial Temperature Range (O'C to +70'C)
LF353 200pA 10 10 100pA 25 4.0 13 ±5.0 ±18 JFET Input N/626, 0/751
LF412C 200pA 3.0 10 100pA 25 4.0 13 +5.0 ±18 JFET Input, Low Offset, N/626, 0/751
LowOrift
LF442C 100pA 5.0 10 50pA 25 2.0 6.0 ±5.0 ±18 Low Power, JFET Input N/626
LM358 0.25 6.0 7.0 50 25 1.0 0.6 ±1.5 ±18 Single Supply, N/626, 0/751
+3.0 +36 Low Power Consumption
LM833 1.0 5.0 2.0 200 31.6 15 7.0 +2.5 ±18 Low Noise, Audio N/626, 0/751
MCIMCTI458 0.5 6.0 10 200 20 1.1 0.8 ±3.0 ±18 Oual MCI741 P1I626,
0/751
MC1458C 0.7 10 10 300 20 1.1 0.8 ±3.0 ±18 General Purpose P1I626,
0/751
MC3458 0.5 10 7.0 50 20 1.0 0.6 ±1.5 ±18 Split Supplies, P1/626,
+3.0 +36 Single Supply, 0/751
Low Crossover Oistortion
MC4558AC 0.5 5.0 10 200 50 2.8 1.6 ±3.0 ±22 High Frequency P1/626
MC/MCT4558C 0.5 6.0 10 200 20 2.8 1.6 ±3.0 ±18 High Frequency P1/626,
0/751
MC34002 100 pA 10 10 100pA 25 4.0 13 ±5.0 ±18 JFET Input P/626, 0/751
MC34002B 100 pA 5.0 10 70pA 25 4.0 13 ±5.0 ±18 JFET Input P/626, 0/751
MC34072 0.5 5.0 10 75 25 4.5 10 +3.0 +44 High Performance P/626, 0/751
MC34072A 500 nA 3.0 10 50 50 4.5 10 +3.0 +44 Single Supply P/626, 0/751
MC34082 200pA 3.0 10 100pA 25 8.0 30 ±5.0 ±22 High Speed, JFET Input P/626
MC34083B 200pA 3.0 10 100pA 25 16 55 ±5.0 ±22 Oecompensated P/626
MC34182 0.1 nA 3.0 10 0.05 25 4.0 10 ±2.5 ±18 Low Power, JFET Input P/626, 0/751
TL062AC 200pA 6.0 10 100 pA 4.0 2.0 6.0 ±2.5 ±18 Low Power, JFET Input P/626, 0/751
TL062C 200pA 15 10 200pA 4.0 2.0 6.0 ±2.5 ±18 Low Power, JFET Input P/626, 0/751
TL072AC 200pA 6.0 10 50pA 50 4.0 13 ±S.O ±18 Low Noise, JFET Input P/626, 0/751
TL072C 200pA 10 10 50pA 25 4.0 13 ±5.0 ±18 Low Noise, JFET Input P/626, 0/751
TL082AC 200pA 6.0 10 100pA 50 4.0 13 ±5.0 ±18 JFET Input P/626, 0/751
TL082C 400pA 15 10 200pA 25 4.0 13 ±S.O ±18 JFET Input P/626, 0/751

Split or Single Supply


OpAmp
Automotive Temperature Range (-40'C to +85'C)
LM2904 0.25 7.0 7.0 50 100 1.0 0.6 ±1.5 ±13 Split or Single Supply N/626, 0/751
typ +3.0 +26
MC3358 5.0 8.0 10 75 20 1.0 0.6 ±1.5 ±18 Split or Single Supply P1I626
+3.0 +36
MC33072 0.50 5.0 10 75 25 4.5 10 +3.0 +44 High Performance P/626, 0/751
MC33072A 500nA 3.0 10 50 50 4.5 10 +3.0 +44 Single Supply P/626, 0/751
MC33076 0.5 4.0 2.0 70 25 7.4 2.6 ±2.0 ±18 High Output Current P1/626,
P2I648C,
0/751
MC33077 1.0 1.0 2.0 180 150 37 11 ±2.5 ±18 Low Noise P/626, 0/751
MC33078 750nA 2.0 2.0 150 31.6 16 7.0 ±5.0 ±18 Low NOise N/626, 0/751
MC33102 P/626, 0/751
(Awake) 600nA 3.0 1.0 60 25 4.6 1.7 ±2.5 ±18 Sleepmode™
(Sleep) 60nA 3.0 1.0 6.0 15 0.3 0.1 ±2.5 ±18 Micropower
MC33172 0.10 4.5 10 20 50 1.8 2.1 +3.0 +44 Low Power, Single P/626, 0/751
Supply
MC33178 0.5 3.0 2.0 50 50 5.0 2.0 ±2.0 ±18 High Output Current P/626, 0/751
MC33182 0.1 nA 3.0 10 0.05 25 4.0 10 ±2.5 ±18 Low Power, JFET Input P/626, 0/751
MC33272A 650nA 1.0 0.56 25nA 31.6 5.5 11.5 ±1.5 ±18 High Performance P/626, 0/751
MC33282 100pA 200llV 5.0 50pA 50 30 12 ±2.5 ±18 Low Input, Offset JFET P/626, 0/751
TL062V 200pA 6.0 10 100pA 4.0 2.0 6.0 ±2.5 ±18 Low Power, JFET Input P/626, 0/751

Motorola Master Selection Guide 4.1-3 Analog and Interface Integrated Circuits
Table 2. Dual Operational Amplifiers (continued)
Supply
BW SR
Voltage
liB VIO TCVIO 110 Avol (Av=l) (Av=l)
(V)
(J.IA) (mV) (!lVrC) (nA) (VlmV) (MHz) (V/IlS) Suffix!
Device Max Max Typ Max Min Typ Typ Min Max Description Package
Extended Automotive Temperature Range (-40°C to +1 OSOC)
MC33202 250nA 11 2.0 100 50 2.2 1.0 ±0.9 ±6.0 Low V Rail-te-RaW" P/626,On51
MC33206 Rail-te-RaUTM P/646,
with Enable On51A

Power Op Amp,
Single Supply

1MC33202 1400 pA 1 11 1 2.0 1200 pA 1 50 2.2 1.0 1 ±0.9 1 ±6.0 1 Low V Rail-te-Rail™ 1 P/626, On51 1

Table 3. Quad Operational Amplifiers


BW SR Supply
Voltage
liB VIO TCVIO 110 Avol (Av= 1) (Av = 1)
(mV) (nA) (VlmV) (V) Suffix!
(!lA) (!lVrC) (MHz) (VlIlS)
Device Max Max Typ Max Min Typ Typ Min Max Description Package

Internally Compensated
Commercial Temperature Range (DOC to +70°C)
LF347 200pA 10 10 100pA 25 4.0 13 ±5.0 ±18 JFET Input N/646
LF347B 200pA 5.0 10 100pA 50 4.0 13 ±5.0 ±18 JFETlnput N/646
LF444C 100pA 10 10 50pA 25 2.0 6.0 ±5.0 ±18 Low Power, JFET Input N/646, Dn51A
LM324, A 0.25 6.0 7.0 50 25 1.0 0.6 ±1.5 ±16 Low Power N/646, Dn51A
+3.0 +32 Consumption
LM348 0.2 6.0 - 50 25 1.0 0.5 ±3.0 ±18 Quad MC1741 N/646, Dn51A
LM3900 +3.0 +36
MC3403 0.5 10 7.0 50 20 1.0 0.6 ±1.5 ±18 No Crossover P/646, Dn51A
+3.0 +36 Distortion
MC4741C 0.5 6.0 15 200 20 1.0 0.5 ±3.0 ±18 Quad MC1741 P/646, Dn51A
MC34004 200pA 10 10 100pA 25 4.0 13 ±5.0 ±18 JFET Input P/646
MC34004B 200pA 5.0 10 100pA 50 4.0 13 ±5.0 ±18 JFET Input P/646
MC34074 0.5 5.0 10 75 25 4.5 10 +3.0 +44 High Performance P/646, Dn51A
MC34074A 500 nA 3.0 10 50 50 4.5 10 +3.0 +44 Single Supply P/646, Dn51A
MC34084 200pA 12 10 100pA 25 8.0 30 ±S.O ±22 High Speed, JFET Input P/646,
DWn51G
MC34085B 200pA 12 10 100pA 25 16 55 ±5.0 ±22 Decompensated P/646,
DWn51G
MC34184 0.1 nA 10 10 0.05 25 4.0 10 ±2.5 ±18 Low Power, JFET Input P/646, Dn51A
TL064AC 200pA 6.0 10 100 pA 4.0 2.0 6.0 ±2.5 ±18 Low Power, JFET Input N/646, Dn51A
TL064C 200pA 15 10 200pA 4.0 2.0 6.0 ±2.5 ±18 Low Power, JFET Input N/646, Dn51A
TL074AC 200pA 6.0 10 50pA 50 4.0 13 ±5.0 ±18 Low Noise, JFET Input N/646
TL074C 200pA 10 10 50pA 25 4.0 13 ±5.0 ±18 Low Noise, JFET Input N/646
TL084AC 200pA 6.0 10 100 pA 50 4.0 13 ±5.0 ±18 JFET Input N/646
TL084C 400pA 15 10 200pA 25 4.0 13 ±5.0 ±18 JFETlnput N/646

Split Supplies or
Single Supply
Automotive Temperature Range (-40°C to +85°C)
LM2902 0.5 10 - 50 - 1.0 0.6 ±1.5 ±13 Differential Low Power N/646, Dn51A
+3.0 +26
MC33011 0.3 - - - 1.0 4.0 0.6 ±2.0 ±15 Norton Input P/646
LM2900 +4.0 +28 N/646
MC3303 0.5 8.0 10 75 20 1.0 0.6 ±1.5 ±18 Differential P/646, Dn51A
+3.0 +36 General Purpose
MC33074 0.5 4.5 10 75 25 4.5 10 +3.0 +44 High Performance, P/646,On51A
Single Supply
MC33074A 500nA 3.0 10 50 50 4.5 10 +3.0 +44 High Performance P/646, Dn51A

Analog and Interface Integrated Circuits 4.1-4 Motorola Master Selection Guide
Table 3. Quad Operational Amplifiers (continued)
BW SR Supply
Voltage
liB VIO TCVIO 110 Avol (Av=l) (Av = 1)
(mV) (IlVlOC) (nA) (VlmV) (MHz) (VlIlS) (V) Suffix!
(IJA)
Device Max Max Typ Max Min Typ Typ Min Max Description Package
MC33079 750nA 2.5 2.0 150 31.6 9.0 7.0 ±5.0 ±18 Low Noise N/646,O/751A
MC33174 0.1 4.5 10 20 50 1.8 2.1 +3.0 +44 Low Power, Single P/646,O/751A
Supply
MC33179 0.5 3.0 2.0 50 50 5.0 2.0 ±2.0 ±18 High Output Current P/646,O/751A
MC33184 0.1 nA 10 10 0.05 25 4.0 10 ±2.5 ±18 Low Power, JFET Input P/646,O/751A
MC33274A 650nA 1.0 0.56 25 nA 31.6 5.5 11.5 ±1.5 ±18 High Performance P/646,O/751A
MC33284 100pA 2.0 5.0 50pA 50 30 12 ±2.5 ±18 Low Input, Offset JFET P/646,O/751A
TL064V 200pA 9.0 10 100 pA 4.0 2.0 6.0 ±2.5 ±18 Low Power, JFET Input N/646,O/751A
Extended Automotive Temperature Range (-40°C to +1 OSOC)
MC33204 250 nA 13 2.0 100 50 2.2 1.0 ±0.9 ±6.0 Low V Rail-to--RajITM P/646,O/751A
MC33207 50 2.2 ±D.9 ±6.0 RaiHo--Rail™ wHh Enable P/648,O/751B
MC33304 25 3.0 +1.8 +12 Sleepmode, P/646,O/751A
Raii-to--RaiITM
Military Temperature Range (-SSOC to +12S0C)
1MC33204 1400 pA 1 13 1 2.0 1200 pA 1 50 2.2 1.0 1 ±D.9 1 ±6.0 1 Low V Raii-to--Rail™ 1P/646, 0/751A 1

High Frequency Amplifiers


A variety of high frequency circuits with features ranging Amplifiers. These parts are recommended for applications
from low cost simplicity to multifunction versatility marks up through 70 MHz. The best high frequency performance
Motorola's line of integrated amplifiers. Devices described may be obtained by using the physically smaller SOIC
here are intended for industrial and communications version (shorter leads) - MC1350D. There are currently no
applications. For devices especially dedicated to consumer other RF ICs like these, because other manufacturers have
products, i.e., TV and entertainment radio. (See the dropped their copies. Applications include variable gain
Consumer Electronics Circuits section.) video and instrumentation amplifiers, IF (Intermediate
Frequency) amplifiers for radio and TV receivers, and
AGC Amplifiers transmitter power output control. Many uses will be found in
medical instrumentation, remote monitoring, video/graphics
MC1490/MC1350 Family Wideband processing, and a variety of communications equipment.
General Purpose Amplifiers The familyof parts using the same basic die (identical circuit
with slightly different test parameters) is listed in the
The MC1490 and MC1350 family are basic building
following table.
blocks - AGC (Automatic Gain Controlled) RFNideo

Table 4. High Frequency Amplifier Specifications


Operating AV Bandwidth VCcNEE
Temperature Range (dB) @MHz (Vdc)
Suffix!
- 40° to +8SoC 0° to +70°C Typical Minimum Maximum Package
- MC1350 50 45 +6.0 +18 P/626, 0/751
MC1490 - 50 10 P/626
45 60
35 100

Motorola Master Selection Guide 4.1-5 Analog and Interface Integrated Circuits
Miscellaneous Amplifiers
Motorola provides several Bipolar and CMOS special range from low power CMOS programmable amplifiers and
purpose amplifiers which fill specific needs. These devices comparators to variable-gain bipolar power amplifiers.

MC3405
Dual Operational Amplifier and Output 1
Dual Voltage Comparator
Inputs 1 {
This device contains two Differential Input Operational
Amplifiers and two Comparators; each set capable of single
supply operation. This operational amplifier--comparator
Vee
circuit will find its applications as a general purpose product for
automotive circuits and as an industrial "building block." Inputs 2 {

Output 2

Table 5. Bipolar
liB VIO 110 Avol Response Supply Voltage
(jiA) (mY) (nA) (VlmV) (I1S ) Suffix!
Device Max Max Max Min Typ Single
J Dual Package

MC3405 0.5 10 50 20 1.3 3.0 to 36 I ±1.5to±18 P/646

MC14573
Quad Programmable Operational Amplifier
MC14575
Dual Programmable Operational Amplifier and Dual Programmable Comparator
MC14576BIMC14577B
Dual Video Amplifiers

Table 6. CMOS
Quantity Single Supply Dual Supply Suffix!
Function Per Package Voltage Range Voltage Range Frequency Range Device Package
Operational Amplifiers 4 3.0 to 15 V ±1.5 to ±7.5 V OCto 1.0 MHz MC14573 P/648,0/7516
Operational Amplifiers 2and2 3.0 to 15 V ±1.5 to ±7.5 V OCto 1.0 MHz MC14575 P/648,0/7516
and Comparators

Video Amplniers 2 5.0 to 12V(1) ±2.5 to ±6.0 v(2) Up to 10MHz MC14576C P/626, F/904
MC14577C
(1) 5.0 to 10 V for surface mount package.
(2) ±2.5 to ±5.0 V for surface mount package.

Analog and Interface Integrated Circuits 4.1-6 Motorola Master Selection Guide
Comparators
Table 7. Single Comparators
liB VIO 110 AV 110 Response Supply Temperature
(JlA) (mV) (JlA) (VN) (mA) Time Voltage Range Suffix!
Device Max Max Max Typ Min (ns) (V) Description (OC) Package

Bipolar
LM211 0.1 3.0 0.01 200 k 8.0 200 +15,-15 With strobe, will operate -25 to +85 D/751
LM311 0.25 7.5 0.05 from single supply o to +70 N/626,
D/751

CMOS
Requires only 10 J.LA from
single-ended supply

Table 8. Dual Comparators


liB VIO 110 AV 110 Response Supply Temperature
(1lA) (mV) (1lA) (VN) (mA) Time Voltage Range Suffix!
Device Max Max Max Typ Min (ns) (V) Description (OC) Package

Bipolar
LM293 0.25 5.0 0.05 200 k 6.0 1300 ±1.5 to ±18 Designed for single or split -25 to +85 N/626,
LM393 5.0 1300 or supply operation, input Oto +70 D/751
LM393A 2.0 1300 3.0 to 36 common mode includes o to +70
LM2903 7.0 1500 ground (negative supply) -40 to +105
MC3405 0.5 10 0.05 200 k 6.0 1300 ±1.5to±7.5 This device contains 2 op Oto +70 P/646
or amps and 2 comparators in
3.0 to 15 a single package

CMOS
MC14575 0.001 30 0.0001 2.0 k 3.0 1000 ±1.5to ±7.5 This device contains 2 op -40 to +85 P/648,
or amps and 2 comparators in D/751B
3.0 to 15 a single package

Table 9. Quad Comparators


liB VIO 110 AV 110 Response Supply Temperature
(1lA) (mV) (1lA) (VN) (mA) Time Voltage Range Suffix!
Device Max Max Max Typ Min (ns) (V) Description (OC) Package

Bipolar
LM239 0.25 5.0 0.05 200 k 6.0 1300 ±1.5 to±18 Designed for single or split -25 to +85 N/646,
LM239A 2.0 200 k or supply operation, input -25 to +85 D/751 A
LM339 5.0 200 k 3.0 to 36 common mode includes Oto +70
LM339A 2.0 200 k ground (negative supply) Oto +70
LM2901 7.0 100 k -40 to +85
MC3302 0.5 20 0.5 30 k -40 to +85 P/646

MC3430 40 6.0 1.0 Typ 1.2 k 16 33 +5.0,-5.0 High speed comparator/ Oto +70 P/648
MC3431 10 33 sense amplifier
MC3432 6.0 40
MC3433 10 40

CMOS
MC14574 0.001 30 0.0001 2.0 k 3.0 1000 ±1.5 to ±7.5 Externally programmable -40 to +85 P/648,
or power dissipation with 1 or D/751B
3.0 to 15 2 resistors

Motorola Master Selection Guide 4.1-7 Analog and Interface Integrated Circuits
Amplifiers and Comparators Package Overview

~CASE 626
N, P, P1 SUFFIX
•CASE 646
N, PSUFFIX
-CASE 648, 648C
DP2, P, P2 SUFFIX

CASE 751 CASE 751A CASE 751B


DSUFFIX DSUFFIX DSUFFIX


CASE 751G
DWSUFFIX

CASE 904
FSUFFIX

Analog and Interface Integrated Circuits 4.1-8 Motorola Master Selection Guide
Power Supply Circuits

In Brief ...
In most electronic systems, some form of voltage Page
regulation is required. In the past, the task of voltage Linear Voltage Regulators ....................... 4.2-2
regulator design was tediously accomplished with discrete Fixed Output ................................ 4.2-2
devices, and the results were quite often complex and costly. Adjustable Output. . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-4
Today, with bipolar monolithic regulators, this task has been Special Regulators ............................. 4.2-5
significantly simplified. The designer now has a wide choice Voltage Regulator/Supervisory. . . . . . . . . . . . . . . .. 4.2-5
of fixed, low VDiff and adjustable type voltage regulators. SCSI Regulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-8
These devices incorporate many built-in protection Switching Regulator Control Circuits .............. 4.2-9
features, making them virtually immune to the catastrophic Single-Ended ............................... 4.2-9
failures encountered in older discrete designs. Single-Ended with On-Chip Power Switch ..... 4.2-11
The switching power supply continues to increase in Very High Voltage Single-Ended with
popularity and is one of the fastest growing markets in the On-Chip Power Switch ..................... 4.2-11
world of power conversion. They offer the designer several Double-Ended ............................. 4.2-12
important advantages over linear series-pass regulators. High Voltage Switching Regulator ............. 4.2-13
These advantages include significant advancements in the Special Switching Regulator Controllers .......... 4.2-15
areas of size and weight reduction, improved efficiency, and Dual Channel .............................. 4.2-15
the ability to perform voltage step-up, step-down, and Universal Microprocessor . . . . . . . . . . . . . . . . . . .. 4.2-15
voltage-inverting functions. Motorola offers a diverse Power Factor ............................... 4.2-15
portfolio of full featured switching regulator control circuits Supervisory Circuits ........................... 4.2-18
which meet the needs of today's modern compact electronic Overvoltage Crowbar Sensing . . . . . . . . . . . . . . .. 4.2-18
equipment. Over/Undervoltage Protection ................ 4.2-18
Power supplies, MPU/MCU-based systems, industrial Undervoltage Sensing ..... . . . . . . . . . . . . . . . . .. 4.2-19
controls, computer systems and many other product Universal Voltage Monitor . . . . . . . . . . . . . . . . . . .. 4.2-20
applications are requiring power supervisory functions Battery Management Circuits ................... 4.2-21
which monitor voltages to ensure proper system operation. Battery Charger ICs ......................... 4.2-21
Motorola offers a wide range of power supervisory circuits Battery Pack ICs . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-23
that fulfill these needs in a cost effective and efficient MOSFET/IGBT Drivers. . . . . . . . . . . . . . . . . . . . . . . .. 4.2-25
manner. MOSFET drivers are also provided to enhance the High Speed Dual Drivers. . . . . . . . . . . . . . . . . . . .. 4.2-25
drive capabilities of first generation switching regulators or Single IGBT Driver . . . . . . . . . . . . . . . . . . . . . . . . .. 4.2-25
systems designed with CMOSmL logic devices. These Package Overview ............................ 4.2-26
drivers can also be used in dc-to-dc converters, motor
controllers or virtually any other application requiring high
speed operation of power MOSFETs.

Motorola Master Selection Guide 4.2-1 Analog and Interface Integrated Circuits
Linear Voltage Regulators
Fixed Output
These low cost monolithic circuits provide positive and/or Although designed primarily as fixed voltage regulators,
negative regulation at currents from 100 rnA to 3.0 A. They are these devices can be used with external components to obtain
ideal for on-card regulation employing current limiting and adjustable voltages and currents.
thermal shutdown. Low VDiff devices are offered for battery
powered systems.

Table 1. Linear Voltage Regulators


Typ. Temp.
25°C Vin-Vout Regline Regload Coefficient
Tol. Yin Diff. Max Max mV (Voutl Suffix!
Device Vout ±% Max Typ. (% Vout) (%Vout) °C Package

Fixed Voltage, 3-Terminal Regulators, 0.1 Amperes


LM2931 */A-5.0· 5.0 5.0/3.8 40 0.16 0.6 1.0 0.2 0/751,
02T/936,
OT,OT-l,
T/221 A, Z
LP2950C*I AC* 3.0 0.5 30 0.38 0.2/0.1 0.2/0.1 0.04 OT-3.0,
Z-3.0

3.3 OT-3.3,
Z-3.3

5.0 OT-5.0,
Z-5.0
MC78LXXC/AC/AB* 5.0, 8.0, 9.0 8.0/4.0 30 1.7 4.0/3.0 1.2 0.2 0/751, P/29
MC78LXXC/AC/AB* 12,15,18 8.0/4.0 35 1.7 2.0 1.0 0.2 01751, P/29
MC78L24C/AC/AB' 24 8.0/4.0 40 1.7 2.0 1.0 0.2 0/751, P/29
MC79L05C/AC/AB* -5.0 8.0/4.0 30 1.7 4.0/3.0 1.2 0.2 0/751, P/29
MC79LXXC/AC/AB* -(12,15,18) 8.0/4.0 35 1.7 2.0 1.0 0.2 01751, P/29
MC79L24C/AC/AB* -24 8.0/4.0 40 1.7 2.0 1.0 0.2 01751, P/29
MC33160" 5.0 5.0 40 2.0 0.8 1.0 - P/626

Fixed Voltage, 3-Terminal Regulators, 0.5 Amperes


MC78MXXB*/C 5.0, 6.0, 8.0, 12 4.0 35 2.0 1.0 2.0 ±0.04 OT,OT-l,
T/221 A

MC78MXXB*/C 15,18 4.0 35 2.0 1.0 2.0 ±0.04 OT,OT-l,


T/221 A
MC78MXXB*/C 20,24 4.0 40 2.0 0.25 2.0 ±0.04 OT,OT-l,
T/221 A

MC79MXXB*/C -(5.0, 8.0, 12, 15) 4.0 35 1.1 1.0 2.0 -0.07 to OT,OT-1,
±O.04 T/221 A

MC33267* 5.05 2.0 40 0.58 1.0 1.0 - 02T/936A,


T/3140, TV

Fixed Voltage, 3-Terminal Medium Dropout Regulators, 0.8 Amperes


MC33269-XX* 3.3,5.0,12 1.0 20 1.0 0.3 1.0 - 0/751,OT,
T/221 A
MC34268 2.85 1.0 15 0.95 0.3 1.0 - 0/751,OT
Unless otherwise noted, TJ = 0° to +125°C
• TJ =-40° to +125°C
•• TA = -40° to +85°C

Analog and Interface Integrated Circuits 4.2-2 Motorola Master Selection Guide
Table 1. Linear Voltage Regulators (continued)
Typ. Temp.
25°C Vin-Vout Regline Regload Coefficient
Tol. Yin Diff. Max Max mV(Voutl Suffix!
Device Vout ±% Max Typ. (% Voutl (% Voutl °C Package

Fixed Voltage, 3-Terminal Regulators, 1.0 Amperes


MC78XXB*/C/AC 5.0,6.0,8.0,12, 4.0/2.0 35 2.0 2.0/1.0 2.0 -0.06 to D2T/936,
18 -0.22 T/221 A
MC7824B*/C/AC 24 4.0/2.0 40 2.0 2.0/1.0 2.0/0.4 0.125 D2T/936,
T/221 A
MC79XXC/AC -(5.0, 5.2, 6.0) 4.0/2.0 35 2.0 2.0/1.0 2.0 -0.2 D2T/936,
T/221 A
MC79XXC/AC -(8.0, 12, 15, 18) 4.0/2.0 35 2.0 2.0/1.0 2.0/1.25 -0.12 to D2T/936,
-0.06 T/221 A
MC7924C -24 4.0 40 2.0 1.0 2.0 -0.04 D2T/936,
T/221 A
LM340/A-XX 5.0,6.0,12,15,18 4.0/2.0 35 1.7 1.0/0.2 1.0/0.5 ±O.12 T/221 A
LM34D-24 24 4.0 40 1.7 1.0 1.0 ±O.12 T/221S
TL78D-XXC 5.0,12,15 1.0 35 2.0 0.10 0.5 0.012 KC

Fixed Voltage, 3-Terminal Regulators, 3.0 Amperes


MC78TXXC/AC 5.0,8.0,12 4.0/2.0 35 2.5 0.5 0.6 0.04 Tl221 A
MC78T15C/AC 15 4.0/2.0 40 2.5 0.5 0.6 0.04 T/221 A
LM323/A 5.0 4.0/2.0 20 2.3 0.5/0.3 2.0/1.0 ±O.2 T/221 A
Unless olherwise noted, TJ = 0° to +125°C
• TJ=-40'to+125'C
•• TA = -40' 10 +85'C

Table 2. Fixed Voltage Medium and Low Dropout Regulators


Typ.
Temp.
25°C 10 Vin-Vout Regline Regload Coefficient
Tol. (mA) Yin Diff. Max Max mV(Voutl Suffix!
Device Vout ±% Max Max Typ. (%Voutl (%Vout) °C Package

Fixed Voltage, Medium Dropout Regulators


MC33267* 5.05 2.0 500 40 0.58 1.0 1.0 - D2T/936A,
T/314D,
TV
MC34268 2.85 1.0 800 15 0.95 0.3 1.0 D1751 , DT
MC33269-XX* 3.3,5.0,12 20 1.0 D1751 , DT,
T/221 A

Fixed Voltage, Low Dropout Regulators


LM2931*/A· 5.0 5.0/3.8 100 37 0.16 1.12 1.0 ±2.5 D1751 ,
D2T/936A,
DT, DT-l,
T/221A, Z
Unless otherwise noted, TJ = 0° to + 125°C
• TJ=-400to+125°C

Motorola Master Selection Guide 4.2-3 Analog and Interface Integrated Circuits
Table 2. Fixed Voltage Medium and Low Dropout Regulators (continued)
Typ.
Temp.
I 25°C 10 Vin-Vout Regllne Regload CoeffIcient
Tol. (mA) Yin Dlff. Max Max mV(Voutl Suffix!
Device Vout ±% Max MaX Typ. (% Voutl (%Voutl °C Package

Fixed Voltage, Low Dropout Regulators


LP2950C*/AC* 3.0 1.0/0.5 100 30 0.38 0.210.1 0.210.1 0.2 OT-3.0,
Z-3.0
3.3 OT-3.3,
Z-3.3
5.0 OT-5.0,
Z-5.0
LP2951 C*/AC* 3.0 1.0/0.5 100 28.75 0.38 0.04/0.02 0.04/0.02 ±1.0 0-3.01751,
N-3.0I626
3.3 0-3.3/751,
N-3.31626
5.0 01751,
N/626
LM2935* 5.0/5.0 5.0/5.0 500/10 60 0.4510.55 1.0 1.0 - 02T1936A,
T/3140,
TH,TV
Unless otherwise noted, T J = 0° to +125°C
• TJ=-400to+125°C

Adjustable Output
Motorola offers a broad line of adjustable output voltage output voltages for industrial and communications
regulators with a variety of output current capabilities. applications. The three-terminal devices require only two
Adjustable voltage regulators provide users the capability of external resistors to set the output voltage.
stocking a single integrated circuit offering a wide range of

Table 3. Adjustable Output Regulators


Typ. Temp.
10 Vin-Vout Regline Regload Coefficient
(mA) Yin Diff. Max Max mV(Voutl Suffix!
Device You! Max Max Typ. (% Voutl (%Voutl °C Package

Adjustable Regulators
LM317UB* 2.0-37 100 40 1.9 0.07 1.5 ±D.35 01751, Z
LM2931C' 3.0-24 100 37 0.16 1.12 1.0 ±2.5 01751,
02T/936A,
T/3140,
TH,TV
LP2951 C*/AC' 1.25-29 100 28.75 0.38 0.0410.02 0.04/0.02 ±1.0 0-3.01751,
N-3.0/626
0-3.3/751,
N-3.31626
01751,
N/626
MC1723C# 2.0-37 150 38 2.5 0.5 0.2 ±D.033 01751,
P/646
Unless otherwise noted, TJ = 0° to +125°C
• TJ=-400to+125°C
1/ TA = 0° to +70°C

Analog and Interface Integrated Circuits 4.2-4 Motorola Master Selection Guide
Table 3. Adjustable Output Regulators (continued)
Typ. Temp.
10 Vin-Vout Regline Regload Coefficient
(mA) Yin Diff. Max Max mV (Voutl Suffix!
Device Vout Max Max Typ. ('Yo Voutl ('Yo Voutl °C Package

Adjustable Regulators
LM317M/S* 1.2-37 500 40 2.1 0.04 0.5 ±0.35 DT, DT-l,
T/221 A

LM337M/S* -(1.2-37) 500 40 1.9 0.07 1.5 ±0.3 T/221 A


MC33269* 1.25-19 SOO IS.75 1.0 0.3 0.5 ±0.4 D/751 , DT,
T/221 A

LM317/S* 1.2-37 1500 40 2.25 0.07 1.5 ±D.35 D2T/936,


T/221 A

LM337/S* -(1.2-37) 1500 40 2.3 0.07 1.5 ±0.3 D2T/936,


T/221 A

LM350/S* 1.2-33 3000 35 2.7 0.07 1.5 ±D.5 T/221 A

Unless otherwise noted, TJ = 0° to + 125°C


* TJ=-400to+125°C
# TA = 0° to +70°C

Special Regulators
Voltage Regulator/Supervisory
Table 4. Voltage Regulator/Supervisory
Vout Yin
(V) 10 (V)
(mA) Regline Regload TA Suffix!
Device Min Max Max Min Max (mV) Max (mV) Max (OC) Package

MC3312S* 2.9 3.1 35 3.2 7.0 nla 30 -30 to +60 D/751S


2.9 3.1 60 40

2.9 3.1 20 25
-2.65 -2.35 1.0 20
MC34160 4.75 5.25 100 7.0 40 40 50 Oto+70 P/64SC,
DW/751G
MC33160 -40 to +S5

MC33267 4.9 5.2 500 6.0 26 50 50 -40 to +105 T/314D,


TH,TV

MC33169* 4.7 6.4 - 2.7 9.5 - - -40 to +S5 DTS/94SS

6.4 7.0

-2.35 -2.65

• These ICs are intended for powering cellular phone GaAs power amplifiers and can be used lor other portable applications as well.

Motorola Master Selection Guide 4.2-5 Analog and Interface Integrated Circuits
Voltage Regulator/Supervisory (continued)
Microprocessor Voltage Regulator and Supervisory Circuit
MC34160P, ow
TA = 0° to +70°C, Case 648C, 751G
MC33160P, ow
TA = -40° to +85°C, Case 648C, 751G
Regulator
The MC34160 series is a voltage Output
regulator and supervisory circuit contain-
ing many of the necessary monitoring Reset
functions required in microprocessor
based systems. It is specifically designed
for appliance and industrial applications Reference
offering the designer a cost effective 16 Output
solution with minimal external components.
These integrated circuits feature a 5.0 V,
100 mA regulator with short circuit current Power
Power
limiting, pinned out 2.6 V bandgap Warning
Sense
reference, low voltage reset comparator,
power warning comparator with program-
Hysteresis
mable hysteresis, and an uncommitted
Adjust 10
comparator ideally suited for microproces-
sor line synchronization.
Additional features include a chip disable Noninverting
Input
input for low standby current, and internal
thermal shutdown for over temperature
Inverting Comparator
protection. Output
Input
These devices are contained in a 16 pin
duaHn-line heat tab plastic package for
improved thermal conduction.

Low Dropout Regulator


MC33267T, TV
TJ = -40° to +105°C, Case 3140,3148
The MC33267 is a positive fixed 5.0 V
regulator that is specifically designed to
maintain proper voltage regulation with an
extremely low input-to--output voltage
differential. This device is capable of
supplying output currents in excess of 500
mA and contains internal current limiting and
thermal shutdown protection. Also featured
is an on--chip power-up reset circuit that is
ideally suited for use in microprocessor
based systems. Whenever the regulator
output voltage is below nominal, the reset
output is held low. A programmable time
delay is initiated after the regulator has
reached its nominal level and upon timeout,
the reset output is released.
Due to the low dropout voltage
specifications, the MC33267 is ideally
suited for use in battery powered industrial
and consumer equipment where an
extension of useful battery life is desirable.
This device is contained in an economical
five lead T0-220 type package.

Analog and Interface Integrated Circuits 4.2-6 Motorola Master Selection Guide
Voltage Regulator/Supervisory (continued)

Power Management Controller


MC33128D
TA = -30° to +60°C, Case 7518
The MC33128 is a power management
controller specifically designed for use in "On"/"Off" ...l 0-1>----i-i;<)-j-iro.t
battery powered cellular telephone and Toggle '1%
pager applications. This device contains all Output 4
H-o-+-O_2.5 V/t.O rnA
of the active functions required to interface
the user to the system electronics via a
microprocessor. This integrated circuit Output 1
~-O-"-°3.0 V/30 rnA
consists of a low dropout voltage regulator
with power-up reset for MPU power, two
Output 2
low dropout voltage regulators for ~-O::!:"""°3.0 Vl60 rnA
independant powering of analog and digital
circuitry, and a negative charge pump
voltage regulator for full depletion of gallium
arsenide MESFETs.
Also included are protective system
shutdown features consisting of a battery
latch that is activated upon battery
insertion, low battery voltage shutdown, ~==~~~------~,""I MPU
and a thermal over temperature detector. ~------~--------------------~O
This device is available in a l6-pin narrow ~----------------------------------~O
~--------------------------------~,""I VSS
body surface mount plastic package.

GaAs Amplifier Supervisory Circuit


MC33169DTB
TA = -40° to +85°C, Case 9488
The MC33169 is a support IC for GaAs
Power Amplifier Enhanced FETs used in
hand portable telephones such as GSM, VBB Double
PCN, DECT. This,device provides negative
voltages for full depletion of Enhanced = VBattery
MESFETs as well as a priority management
..L (2.7 to 7.0 V)
system of drain switching, ensuring thatthe
negative voltage is always present before
turning "on" the power amplifier. Additional
features include an idle mode input and a
direct drive of the N-Channel drain switch
transistor.
This product is available in two versions,
-2.5 V and -4.0 V. The -4.0 V version is RF
intended for supplying RF modules for ~------r---'-------,"" Out
GSM and DCS1800 application whereas
the -2.5 V version is dedicated for DECT
and PHS systems.
• Negative Regulated Output for Full
Depletion of GaAs MESFETs
• Drain Switch Priority Management
Circuit
• CMOS Compatible Inputs C1
~-----..J~~h
• Idle Mode Input (Standby Mode) for
R1 '-L
Very Low Current Consumption
• Output Signal Directly Drives
N-ChannelFET
• Low Startup and Operating Current

Motorola Master Selection Guide 4.2-7 Analog and Interface Integrated Circuits
SCSI Regulator
Table 5. SCSI Regulator
Vout Vin
(V) (V)
Isink Regllne Regload TJ Suffix!
Device Min I Max (mA) Min I Max (%) (%) (Oe) Package
MC34268 2.81 I 2.89 800 3.9 I 20 0.3 0.5 150 D1751 , DT

SCSI-2 Active Terminator Regulator


MC34268D, Dr
TJ = 0° to +125°C, Case 751, 369A
The MC34268 is a medium current, low dropout positive • 2.85 V Output Voltage for SCSI-2 Active Termination
voltage regulator specifically designed for use in SCSI-2 • 1.0 V Dropout
active termination circuits. This device offers the circuit • Output Current in Excess of 800 mA
designer an economical solution for precision voltage • Thermal Protection
regulation, while keeping power losses to a minimum. The • Short Circuit Protection
regulator consists of a 1.0 V dropout composite PNP/NPN • Output Trimmed to 1.4% Tolerance
pass transistor, current limiting, and thermal limiting. These • No Minimum Load Required
devices are packaged in the 8-pin SOP-8 and 3-pin DPAK • Space Saving DPAK and SOP-8 Surface Mount Power
surface mount power packages. Packages
Applications include active SCSI-2 terminators and post
regulation of switching power supplies.

Input

Analog and Interface Integrated Circuits 4.2-8 Motorola Master Selection Guide
Switching Regulator Control Circuits
These devices contain the primary building blocks which and are designed to drive many of the standard switching
are required to implement a variety of switching power topologies. The single--ended configurations include buck,
supplies. The product offerings fall into three major categories boost, flyback and forward converters. The double--ended
consisting of single--ended and double--ended controllers, devices control push-pull, half bridge and full bridge
plus single--ended les with on-chip power switch transistors. configurations.
These circuits operate in voltage, current or resonant modes

Table 6. Single-Ended Controllers


These single-ended voltage and current mode controllers are designed for use in buck, boost, flyback, and forward
converters. They are cost effective in applications that range from 0.1 to 200 W power output.
Minimum Maximum
Operating Useful
10 Voltage Oscillator
(mA) Range Operating Reference Frequency TA Suffix!
Max (V) Mode (V) (kHz) Device (OC) Package
500 7.01040 Vollage 5.0± 1.5% 200 MC34060A 010+70 D/751 A
(Uncommitted P/646
Drive Oulpul)
MC33060A -4010+85 D/751 A
P/646
1000 4.21012 Currenl 1.25±2.0% 300 MC34129 010 +70 D/751 A
(Tolem Pole MOSFET P/646
Drive Oulpul)
MC33129 -4010+85 D/751 A
P/646
11.51030 5.0±2.0% 500 UC3842A 010 +70 D/751 A
N/626
111030 5.0± 1.0% UC2842A -2510+85 0/751 A
N/626
8.21030 5.0±2.0% UC3843A 010 +70 0/751 A
N/626
5.0± 1.0% UC2843A -2510+85 0/751 A
N/626
11.51030 5.0±2.0% 500 UC3844 Oto+70 0/751 A
(50% Duty N/626
Cvcle Limit)
11 to 30 5.0± 1.0% UC2844 -25 to +85 0/751 A
N/626
8.2 to 30 5.0±2.0% UC3845 oto +70 D/751 A
Nl626
5.0± 1.0% UC2845 -25 to +85 0/751 A
N/626
11.5t030 5.0 ± 2.0% 500 UC3842B Oto+70 0/751 A
(Improved
01/751
Oscillator
Specifications Nl626
with
UC3842BV -40 to +105 0/751 A
Frequency
Guaranteed 01/751
at 250 kHz)
Nl626

Motorola Master Selection Guide 4.2-9 Analog and Interface Integrated Circuits
Table 6. Single-Ended Controllers (continued)
These single-ended voltage and current mode controllers are designed for use in buck, boost, flyback, and forward
converters. They are cost effective in applications that range from O. t to 200 W power output.
Minimum Maximum
Operating Useful
10 Voltage Oscillator
(mA) Range Operating Reference Frequency TA Suffix!
Max (V) Mode (V) (kHz) Device ("C) Package
1000 11 to 30 Current 5.0± 1.0% 500 UC2842B -25 to +85 D/751 A
(Totem Pole MOSFET (Improved D1/751
Drive Output) Oscillator
Specifications N/626
8.2 to 30 5.0±2.0% with UC3843B Oto+70 D/751 A
Frequency D1/751
Guaranteed
at 250 kHz) N/626
UC3843BV --40 to +105 D/751 A
D1/751
N/626
5.0±1.0% UC2843B -25 to +85 D/751 A
D1/751
N/626
11.5t030 5.0 ± 2.0% 500 UC3844B Oto+70 0/751 A
(50% Duty D1/751
Cycle Limit)
N/626
UC3844BV --40 to +105 D/751 A
D1/751
N/626
11 to 30 5.0± 1.0% UC2844B -25 to +85 D/751 A
D1/751
N/626
8.2 to 30 5.0±2.0% UC3845B 010+70 D/751 A
D1/751
N/626
UC3845BV --40 to +105 D/751 A
D1/751
N/626
5.0± 1.0% UC2845B -25 to +85 D/751 A
D1/751
Nl626
1000 Source 11 to 18 5.0±6.0% MC44602 P2I648C
1500 Sink
(Split Totem Pole
Bipolar Drive Output)
2000 9.2 to 30 Current 5.1 ± 1.0% 1000 MC34023 Oto+70 DW/751G
(Totem Pole MOSFET or FN1775
Drive Output) Voltage
P/648
MC33023 --4010 +105 DW1751G
FN/775
P/648

Analog and Interface Integrated Circuits 4.2-10 Motorola Masler Selection Guide
Table 7. Single-Ended Controllers with On-Chip Power Switch
These monolithic power switching regulators contain all the active functions required to implement standard dc-to--dc
converter configurations with a minimum number of external components.
Minimum Maximum
Operating Useful
10 Voltage Oscillator
(mA) Range Operating Reference Frequency TA Suffix!
Max (V) Mode (V) (kHz) Device (Oc) Package
1500 2.51040 Vollage 1.25 ± 5.2%(1) 100 IlA78S40 Oto+70 PC/648
(Uncommitted
-4010 +85 PV/648
Power Swilch)
1.25±2.0% MC34063A 010 +70 Dn51
P1/626

MC33063A -4010 +85 Dn51

P1/626

3400 2.51040 1.25±2.0% MC34163 010 +70 P/648C,


(Uncommitted and DWn51G
Power Switch) 5.05±3.0% MC33163 -4010 +85

3400(2) 7.51040 5.05±2.0% 72± 12% MC34166 Oto+70 D2T/936A,


(Dedicated Emitter Internally TH,TV,
Power Swilch) Fixed MC33166 -4010+85 T/314D

5500(3) MC34167 010 +70


(Dedicated Emitter
Power Swilch) MC33167 -4010+85

(1) Tolerance applies over the specified operating temperature range.


(2) Guaranteed minimum. typically 4300 rnA.
(3) Guaranteed minimum, typically 6500 rnA.

Table 8. Very High Voltage Single-Ended Controller with On-Chip Power Switch
This monolithic high voltage switching regulator is specifically designed to operate from a rectified ac line voltage source.
Included are an on--chip high voltage power switch, active off-line startup circuitry and a full featured PWM controller with fault
protection.
Maximum
Power Switch Useful
Maximum Rating Startup Feedback OSCillator
Input Max Operating Threshold Frequency TA Suffix!
VOS(V) lOS (mA) (V) Mode (V) (kHz) Device (OC) Package

500 2000 250 Vollage 2.6±3.1% 1000 MC33362 -25 to +125 DWn51N

Motorola Master Selection Guide 4.2-11 Analog and Interface Integrated Circuits
Table 9. Double-Ended Controllers
These double-ended voltage, current and resonant mode controllers are designed for use in push-pull, half-bridge, and
full-bridge converters. They are cost effective in applications that range from 100 to 2000 watts power output.
Minimum Maximum
Operating Useful
10 Voltage Oscillator
(mA) Range Operating Reference Frequency TA Suffix!
Max (V) Mode (V) (kHz) Device (OC) Package

500 7.0 to 40 Voltage 5.0 ± 5.0%(1) 200 TL494 o to +70 CN/648


(Uncommitted
-25 to +85 IN/648
Drive Outputs)
5.0± 1.5% 300 TL594 Oto+70 CN/648
-25 to +85 IN/648
±500 8.0 to 40 5.1 ±2.0% 400 SG3525A Oto +70 N/648
(Totem Pole MOSFET
Drive Outputs) SG3527A N/648

±200 5.0±2.0% SG3526 o to +125(2) Nn07


(Totem Pole MOSFET
Drive Outputs)
±1500 9.6 to 20 Resonant 5.1 ±2.0% 1000 MC34066 Oto+70 DWn51G
(Totem Pole MOSFET (Zero
P/648
Drive Outputs) Current)
MC33066 -40 to +85 DWn51G
P/648

Resonant 2000 MC34067 Oto +70 DWn51G


(Zero
P/648
Voltage)
MC33067 -40 to +85 DWn51G
P/648

2000 9.2 to 30 Current 5.1 ±1.0% 1000 MC34025 o to +70 DWn51G


(Totem Pole MOSFET or
FNn75
Drive Outputs) Voltage
P/648

MC33025 -40 to +105 DWn51G


FNn75
P/648

(1) Tolerance applies over the specified operating temperature range.


(2) Junction Temperature Range.

Analog and Interface Integrated Circu~s 4.2-12 Motorola Master Selection Guide
Switching Regulator Control Circuits (continued)

High Voltage Switching Regulator


MC33362DW
TJ = -25 0 to +125°C, Case 751N

The MC33362 is a monolithic high voltage switching thermal shutdown. This device is available in a 16 lead wide
regulator that is specifically designed to operate from a body surface mount package.
rectified 120 Vac line source. This integrated circuit features • On-Chip 500 V, 2.0 A SenseFET Power Switch
an on-chip 500 V/2.0 A SenseFET power switch, 250 V active • Rectified 120 Vac Line Source Operation
off-line startup FET, duty cycle controlled oscillator, current • On-Chip 250 V Active Off-Line Startup FET
limiting comparator with a programmable threshold and • Latching PWM for Double Pulse Suppression
leading edge blanking, latching pulse width modulator for • Cycie-By-Cycie Current Limiting
double pulse suppression, high gain error amplifier, and a • Input Undervoltage Lockout with Hysteresis
trimmed internal bandgap reference. Protective features • Output Overvoltage Protection Comparator
include cycie-by-cycie current limiting, input undervoltage • Trimmed 1.0% Internal Bandgap Reference
lockout with hysteresis, output overvoltage protection, and • Internal Thermal Shutdown

20 W Off-Line Converter

AC Input
"'0

.. U::
Startup Input DC Output
r;"'-''''-'-''"7·-'-- ~ -.--".-.-.-;-:-- - - . - - - - .
.T.
I
I

Motorola Master Selection Guide 4.2-13 Analog and Interface Integrated Circuits
Switching Regulator Control Circuits (continued)

High Voltage Switching Regulator

MC33363DW
TJ = -25° to + 125°C, Case 751 N

The MC33363 is a monolithic high voltage switching thermal shutdown. This device is available in a 16-lead wide
regulator that is specifically designed to operate from a body surface mount package.
rectified 240 Vac line source. This integrated circuit features • On-Chip 700 V, 1.0 A SenseFET Power Switch
an on-chip 700 V/l.0 A SenseFET power switch, 450 V active • Rectified 240 Vac Line Source Operation
off-line startup FET, duty cycle controlled oscillator, current • On-Chip 450 V Active Off-Line Startup FET
limiting comparator with a programmable threshold and • Latching PWM for Double Pulse Suppression
leading edge blanking, latching pulse width modulator for • Cycle-By-Cycle Current Limiting
double pulse suppression, high gain error amplifier, and a • Input Undervoltage Lockout with Hysteresis
trimmed internal bandgap reference. Protective features • Output Overvoltage Protection Comparator
include cycle-by-cycle current limiting, input undervoltage • Trimmed Internal Bandgap Reference
lockout with hysteresis, output overvoltage protection, and • Internal Thermal Shutdown


DC Output

Analog and Interface Integrated Circuits 4.2-14 Motorola Master Selection Guide
Special Switching Regulator Controllers
These high performance dual channel controllers are and lower voltage dc-te-dc converters, respectively.
optimized for off-line, ae-to--dc power supplies and dc-to--dc Applications include desktop computers, peripherals,
converters in the flyback topology. They also have televisions, games, and various consumer appliances.
undervoltage lockout voltages which are optimized for off-line

Table 10. Dual Channel Controllers


Minimum Maximum
Operating Useful
10 Voltage Oscillator
(mA) Range Operating Reference Frequency TA Suffix!
Max (V) Mode (V) (kHz) Device (OC) Package
500 4.0 Voltage 1.25±2.0% 700 MC34270 Oto +70 FB/873A
MC34271
±1000 11 to 20 Current 5.0±2.6% 500 MC34065 Oto +70 DW-Hn51G
(Totem Pole MOSFET
P-H/648
Drive Outputs)
MC33065 -40 to +85 DW-Hn51G
P-H/648
8.2 to 20 MC34065 Oto +70 DW-U751G
P-U648
MC33065 -40 to +85 DW-U751G
P-U648

Table 11. Universal Microprocessor Power Supply Controllers


A versatile power supply control circuit for microprocessor-based systems, this device is mainly intended for automotive
applications and battery powered instruments. The circuit provides a power-on reset delay and a Watchdog feature for orderly
microprocessor operation.
Vcc
(V) Key
Regulated Output Reference Supervisory TA
Outputs Current (mA) Min Max (V) Features Device (OC) Package
E2PROM Programmable 150 peak 6.0 35 2.5±3.2% MPU Reset and TCF5600 -40 to +85 707
Output: Watchdog TCA5600
24 V (Write Mode) Circuit
5.0 V (Read Mode)

Table 12. Power Factor Controllers


Minimum
Operating Maximum
10 Voltage Startup
(mA) Range Voltage Reference TA Suffix!
Max (V) (V) (V) Features Device ("C) Package
±500 9.0 to 30 30 2.5±1.4% Undervoltage Lockout, MC34261 Oto+70 Dn51
(Totem Pole MOSFET Internal Startup
P/626
Drive Outputs) Timer
MC33261 -4010+85 Dn51
P/626
Overvoltage MC34262 Oto+85 Dn51
Comparator,
P/626
Undervoltage Lockout,
Internal Startup MC33262 -40 to +105 Dn51
Timer P/626
1500 9.0 to 16 500 5.0± 1.5% Off-Line High Voltage MC33368 -25 to +125 Dn51
(CMOS Totem Pole Startup Overvoltage
MOSFET Drive Comparator,
Outputs) Undervoltage Lockout,
Timer, Low Load Detect

Motorola Master Selection Guide 4.2-15 Analog and Interface Integrated Circuits
Power Factor Controllers
MC34262D, P
TA =0° to +85°C, Case 751,626

MC33262D, P
TA = 40° to +105°C, Case 751,626

The MC34262, MC33262 series are active power factor Also included are protective features consisting of an
controllers specifically designed for use as a preconverter in overvoltage comparator to eliminate runaway output voltage
electronic ballast and in off-line power converter applications. due to load removal, input .undervoltage lockout with
These integrated circuits feature an internal startup timer for hysteresis, cycle-by-cycle current limiting, multiplier output
stand alone applications, a one quadrant multiplier for near clamp that limits maximum peak switch current, an RS latch
unity power factor, zero current detector to ensure critical for single pulse metering, and a drive output high state clamp
conduction operation, transconductance error amplifier, for MOSFET gate protection. These devices are available in
quickstart circuit for enhanced startup, trimmed internal dual-in-line and surface mount plastic packages.
bandgap reference, current sensing comparator, and a totem
pole output ideally suited for driving a power MOSFET.

Vo
330 400 VlO.44 A

Analog and Interface Integrated Circuits 4.2-16 Motorola Master Selection Guide
Power Factor Controllers (continued)
MC33368D
TJ = -25° to +125°C, Case 751 K
The MC33368 is an active power factor controller that reference, an undervoltage lockout (UVLO) circuit which
functions as a boost preconverter in off-line power supply monitors the VCC supply voltage, and a CMOS driver for
applications. MC33368 is optimized for low power, high driving MOSFETs. The MC33368 also includes a
density power supplies requiring minimum board area, programmable output switching frequency clamp. Protection
reduced component count, and low power dissipation. The features include an output overvoltage comparator to
narrow body SOIC package provides a small footprint. minimize overshoot, a restart delay timer, and cycle-by-
Integration of the high voltage startup saves approximately cycle current limiting.
0.7 W of power compared to resistor bootstrapped circuits. • Lossless Off-Line Startup
The MC33368 features a watchdog timer to initiate output • Output Overvoltage Comparator
switching, a one quadrant multiplier to force the line current to • Leading Edge Blanking (LEB) for Noise Immunity
follow the instantaneous line voltage, a zero current detector • Watchdog Timer to Initiate Switching
to ensure critical conduction operation, a transconductance • Restart Delay Timer
error amplifier, a current sensing comparator, a 5.0 V

D6
1N4934

400 V

MTW
14N50E
RlO R2
15 k
820 k
R5 C7
1.3 M ~470pF
LEB
9
CS
C8 Rg
~ .001 10
MULT
R7
0.1

Li..>= _ _ _ _
R3 C2 Camp 4 Vref FB
10 k 0.01 ~ ~~8
Vref R1
10 k

Motorola Master Selection Guide 4.2-17 Analog and Interface Integrated Circuits
Supervisory Circuits
A variety of Power Supervisory Circuits are offered. pin-programmable trip voltages or additional features, such
Overvoltage sensing circuits which drive "Crowbar" SCRs as an indicator output drive and remote activation capability.
are provided in several configurations from a low cost An over/undervoltage protection circuit is also offered.
three-terminal version to 8-pin devices which provide

Overvoltage Crowbar Sensing Circuit


MC3423P1,D
TA = 0° to +70°C, Case 626, 751

This device can protect sensitive


circuitry from power supply transients or
regulator failure when used with an external
"Crowbar" SCR. The device senses
voltage and compares it to an internal 2.6 V
reference. Overvoltage trip is adjustable by
means of an external resistive voltage
Sense 1
divider. A minimum duration before trip is
programmable with an external capacitor.
Other features include a 300 rnA high
current output for driving the gate of a
"Crowbar" SCR, an open-collector
indicator output and remote activation
capability.

Remote
Activation

Over/Undervoltage Protection Circuit


MC3425P1
TA = 0° to +70°C, Case 626

The MC3425 is a power supply OV


supervisory circuit containing all the Sense
necessary functions required to monitor
over and undervoltage fault conditions.
This device features dedicated over and
undervoltage sensing channels with
independently programmable time delays.
The overvoltage channel has a high current
drive output for use in conjunction with an
external SCR "Crowbar" for shutdown. The
undervoltage channel input comparator UV
Sense
has hysteresis which is externally
programrnable, and an open-collector
output for fault indication.

Input Section Output Section

Analog and Interface Integrated Circuits 4.2-18 Motorola Master Selection Guide
Supervisory Circuits (continued)

Undervoltage Sensing Circuit


MC34064P-5, D-5
TA = 0° to +70°C, Case 29,751
MC33064P-5, 0-5
TA = -40° to +85°C, Case 29, 751
MC34164P-3,P-5,0-3,0-5
TA =0° to +70°C, Case 29, 751 Pin numbers in
MC33164P-3, P-5, 0-3, 0-5 parenthesis
are for the
TA = -40° to +85°C, Case 29,751 D suffix package.

The MC34064 and MC34164 are two families of


undervoltage sensing circuits specifically designed for use as
reset controllers in microprocessor-based systems. They
offer the designer an economical solution for low voltage
detection with a single external resistor. Both parts feature a
trimmed bandgap reference, and a comparator with precise
thresholds and built-in hysteresis to prevent erratic reset 3.0 V ± 5% power supplies with significantly lower power
operation. consumption, making them ideal for applications where
The two families of undervoltage sensing circuits taken extended battery life is required such as consumer products
together, cover the needs of the most commonly specified or hand held equipment.
power supplies used in MCU/MPU systems. Key parameter Applications include direct monitoring of the 5.0 V MPUI
specifications of the MC34164 family were chosen to logic power supply used in appliance, automotive, consumer,
complement the MC34064 series. The table summarizes and industrial equipment.
critical parameters of both families. The MC34064 fulfills the The MC34164 is specifically designed for battery powered
needs of a 5.0 V ± 5% system and features a tighter hysteresis applications where low bias current (1/25th of the MC34064's)
specification. The MC34164 series covers 5.0 V ± 10% and is an important characteristic.

Table 13. Undervoltage Sense/Reset Controller Features


MC34X64 devices are specified to operate from 0° to +70°C and MC33X64 devices operate from -40° to +85°C
Power
Standard Typical Typical Minimum Supply Maximum
Power Threshold Hysteresis Output Input Quiescent
Supply Voltage Voltage Sink Voltage Input Suffix!
Device Supported (V) (V) Current (mA) Range (V) Current Package
MC34064IMC33064 5.0V±5% 4.6 0.02 10 1.0to 10 500~ P-5/29
@
Vin=5.0V 0-5/751

MC34164/MC33164 5.0V±10% 4.3 0.09 7.0 1.0 to 12 20~ P-5/29


@
Vin=5.0V 0-51751

3.0V±5% 2.7 0.06 6.0 1.0 to 12 15~ P-3129


@
Yin = 3.0 V 0-31751

Motorola Master Selection Guide 4.2-19 Analog and Interface Integrated Circuits
Supervisory Circuits (continued)

Universal Voltage Monitor


MC34161P, D MC33161P, D
TA =0° to +70°C, Case 626,751 TA =-40° to +85°C, Case 626, 751
The MC34161, MC33161 series are universal voltage
monitors intended for use in a wide variety of voltage sensing
applications. These devices offer the circuit designer an
economical solution for positive and negative voltage
detection. The circuit consists of two comparator channels
each with hysteresis, a unique Mode Select Input for channel Vref
programming, a pinned out 2.54 V reference, and two open
collector outputs capable of sinking in excess of 10 mAo Each
comparator channel can be configured as either inverting or Mode
Select
noninverting by the Mode Select Input. This allows over,
under, and window detection of positive and negative
Input 1
voltages. The minimum supply voltage needed for these
devices to be fully functional is 2.0 V for positive voltage
sensing and 4.0 V for negative voltage sensing.
Applications include direct monitoring of positive and
negative voltages used in appliance, automotive, consumer,
and industrial equipment.
• Unique Mode Select Input Allows Channel Programming Input 2
• Over, Under, and Window Voltage Detection
• Positive and Negative Voltage Detection
• Fully Functional at 2.0 V for Positive Voltage Sensing and
4.0 V for Negative Voltage Sensing
• Pinned Out 2.54 V Reference with Current Limit Protection
• Low Standby Current
• Open Collector Outputs for Enhanced Device Flexibility

TRUTH TABLE
Mode Select Input 1 Output 1 Input 2 Output 2
Pin7 Pin 2 Pin6 Pin3 PinS Comments
GND 0 0 0 0 Channels 1 & 2: Noninverting
1 1 1 1

Vref 0 0 0 1 Channell: Noninverting


1 1 1 0 Channel 2: Inverting
VCC (>2.0 V) 0 1 0 1 Channels 1 & 2: Inverting
1 '0 1 0

POSITIVE AND NEGATIVE OVERVOLTAGE DETECTOR

InputVS2

Gnd

-VSl
I
I
I
Output Vee I
Voltage LED ''On'
VS2
Pins 5, 6 Gnd
tllJI
--------'

Analog and Interface Integrated Circuits 4.2-20 Motorola Master Selection Guide
Battery Management Circuits
Battery Charger ICs
Battery Fast Charge Controller
MC33340D
TA = -25° to +85°C, Case 751

The MC33340 is a monolithic controllC that is specifically a rapid test mode are available for enhanced end product
designed as a fast charge controller for Nickel Cadmium testing. This device is available in an economical 8 lead
(NiCd) and Nickel Metal Hydride (NiMH) batteries. This device surface mount package.
features negative slope voltage detection as the primary • Negative Slope Voltage Detection
means for fast charge termination. Accurate detection is • Accurate Zero Current Battery Voltage Sensing
ensured by an output that momentarily interrupts the charge • Programmable 1 to 4 Hour Fast Charge Time Limit
current for precise voltage sampling. An additional secondary • Programmable Over/Under Temperature Detection
backup termination method can be selected that consists of • Battery Over- and Undervoltage Fast Charge Protection
either a programmable time or temperature limit. Protective • Rapid System Test Mode
features include battery over- and undervoltage detection, • Power Supply Input Undervoltage Lockout with
latched over temperature detection, and power supply input Hysteresis
undervoltage lockout with hysteresis. Provisions for entering • Operating Voltage Range of 3.0 V to 18 V

De
Input Vee 8

Motorola Master Selection Guide 4.2-21 Analog and Interface Integrated Circuits
Battery Charger ICs (continued)

Power Supply
Battery Charger
Regulation Control Circuit
MC33341P, D
TA =-40° to +85°C, Case 626, 751

The MC33341 is a monolithic regulation control circuit that • Differential Amplifier for High-Side Source and Load
is specifically designed to close the voltage and current Current Sensing
feedback loops in power supply and battery charger , • Inverting Amplifier for Source Return Low-Side Current
applications. This device features the unique ability to perform Sensing
source high-side, load high-side, source low-side, and load • Noninverting Input Path for Load Low-Side Current
low-side current sensing, each with either an internally fixed Sensing
or externally adjustable threshold. The various current • Fixed or Adjustable Current Threshold in all Current
sensing modes are accomplished by a means of selectively Sensing Modes
using the internal differential amplifier, inverting amplifier, or a • Positive Voltage Sensing in all Current Sensing Modes
direct input path. Positive voltage sensing is performed by an • Fixed Voltage Threshold in all Current SenSing Modes
internal voltage amplifier. The voltage amplifier threshold is • Adjustable Voltage Threshold in all Low-Side Current
internally fixed and can be externally adjusted in all low-side SenSing Modes
current sensing applications. An active high drive output is • Output Driver Directly Interfaces with Economical
provided to directly interface with economical optoisolators for Optoisolators
isolated output power systems. This device is available in • Operating Voltage Range of 2.3 V to 18 V
8 lead dual-in-line and surface mount packages.

Current Sense Input BI Voltage Sense


Drive Output Voltage Threshold Adjust Input
8 6 5

Current Sense Current Compensation Gnd


Input A Threshold Adjust

Analog and Interface Integrated Circuits 4.2-22 Motorola Master Selection Guide
Battery Pack ICs
1 to 4 Cells Lithium Battery Safety IC
MC33344DW
TA =-40° to +85°C, Case 751 D
The MC33344 is a Lithium Battery Safety Integrated Circuit • Precision Cell Voltage Measurement with an Accuracy
designed to control the charge and discharge voltage safety of 1.0%
limits of one to four lithium-ion or lithium polymer • Programmable Voltage and Current Limits
rechargeable cells. This device is designed to be placed inside • Automatic Cell Balancing for Optimization of the Charge
the battery pack together with the cells and other external of each Cell
components, to form a smart battery pack. Its main purpose Protection Features:
is to ensure safe battery pack charging and discharging.
• Zero Current Sleepmode in Order to Avoid the
The circuit also protects the integrity of the Li-ion cells. In
Degradation of a Cell in the Event of an Undervoltage
effect, it avoids the degradation of the cells in case of
Condition
overdischarge by causing the battery pack to go in a zero
• Overvoltage and Undervoltage Cell Protection
current SLEEPMODETM state. This state interrupts any further
• Overcurrent Protection during Charge and Discharge
leakage of the cells.
Integrated into the MC33344 are two seriesed N-FETs Designed for Smart Battery Pack Integration:
designed to interrupt the battery charge or discharge current. • Surface Mount 20 Pin Package
Charge Control: • On-Chip Series N-FETs capable of up to 1.5 A Load
Current
• Fully programmable for 1 to 4 Lithium-Ion (Li-ion) or
Lithium-Polymer Rechargeable Cells

Ref Test Prog1 Prog2 Charge Pump


Gnd Output Pin

Motorola Master Selection Guide 4.2-23 Analog and Interface Integrated Circuits
Battery Pack ICs (continued)

1 to 4 Cells Lithium Battery Safety IC


MC33345DTB
TA =-40° to +85°C, Case 948E
The MC33345 is a Lithium Battery Safety Integrated Circuit • Precision Cell Voltage Measurement with an Accuracy
designed to control the charge and discharge voltage safety of 1.0%
limits of one to four lithium-ion or lithium polymer • Programmable Voltage and Curre'lt Limits
rechargeable cells. This device is designed to be placed inside • Automatic Cell Balancing for Optimization of the Charge
the battery pack together with the cells and other external of each Cell
components, to form a smart battery pack. Its main purpose
Protection Features:
is to ensure safe battery pack charging and discharging.
The circuit also protects the integrity of the Li-ion cells. In • Zero Current Sleepmode in Order to Avoid the
effect, it avoids the degradation of the cells in case of Degradation of a Cell in the Event of an Undervoltage
overdischarge by causing the battery pack to go in a zero Condition
current SLEEPMODpM state. This state interrupts any further • Overvoltage and Undervoltage Cell Protection
leakage of the cells. • Overcurrent Protection during Charge and Discharge

Charge Control: Designed for Smart Battery Pack Integration:


• Fully programmable for 1 to 4 Lithium-Ion (Li-ion) or • Low Profile 20 Pin Surface Mount Package
Lithium-Polymer Rechargeable Cells

Pack +

Over Charge
RC
Over Discharge
RD
VCC
cell 4
V3
cell 3

V2
cell 2

V19-+~
cell 1

Ref Test Prog 1 Prog 2 Charge Pump


Gnd Output Pin

Analog and Interface Integrated Circuits 4.2-24 Motorola Master Selection Guide
MOSFET/IGBT Drivers
High Speed Dual Drivers
(Inverting) (Noninverting)
MC34151P,D MC34152P,D
TA = 0° to +70°C, Case 626, 751 TA =0° to +70°C, Case 626, 751
MC33151P,D MC33152P,D
TA =-40° to +85°C, Case 626, 751 TA = -40° to +85°C, Case 626,751
Vcc
These two series of high speed dual MOSFET driver ICs
are specifically designed for applications requiring low current
digital circuitry to drive large capacitive loads at high slew
rates. Both series feature a unique undervoltage lockout
function which puts the outputs in a defined low state in an
undervoltage condition. In addition, the low "on" state
resistance of these bipolar drivers allows significantly higher
Logic Drive
output currents at lower supply voltages than with competing Output A
Input A
drivers using CMOS technology.
The MC34151 series is pin--compatible with the MMH0026
and DS0026 dual MOS clock drivers, and can be used as
drop-in replacements to upgrade system performance. The
MC34152 noninverting series is a mirror image of the inverting
MC34151 series.
These devices can enhance the drive capabilities of first Logic Drive
generation switching regulators or systems designed with InputB OutputB
CMOSnTL logic devices. They can be used in dc-to-dc
converters, motor controllers, capacitor charge pump
converters, or virtually any other application requiring high
speed operation of power MOSFETs.

Single IGBT Driver


MC33153P,D
TA =-40° to +105°C, Case 626, 751
Current
The MC33153 is specifically designed to drive the gate of Sense
an IGBT used for ac induction motors. It can be used with Input
discrete IGBTs and IBGT modules up to 100 A. Kelvin
Typical applications are ac induction motor' control, 2 Gnd
brushless dc motor control, and uninterruptable power Blanking
supplies. Desatirat
These devices are available in dual-in-line and surface 8 opm
mount packages and include the following features:
• High Current Output Stage: 1.0 A Source - 2.0 A Sink
• Protection Circuits for Both Conventional and
SenselGBTs
• Current Source for Blanking Timing
Gate
• Protection Against Overcurrent and Short Circuit Input Drive
• Undervoltage Lockout Optimized for IGBT's 5 Ouptut
• Negative Gate Drive Capability

Motorola Master Selection Guide 4.2-25 Analog and Interface Integrated Circuits
Power Supply Circuits Package Overview

I
CASE 29 CASE 221A
,
CASE 314A
CASE 314B
TV SUFFIX

, ,.
P,ZSUFFIX T, KCSUFFIX TH SUFFIX

CASE 314D CASE 369 •


CASE 369A CASE 626

,. -
TSUFFIX DT-1 SUFFIX DTSUFFIX N, P, P1 SUFFIX

CASE 646 CASES 648, 648C


-CASE 707

CASE 751

,
PSUFFIX N, P, P2 SUFFIX N SUFFIX D, D1 SUFFIX

#-
CASE 751A
D SUFFIX
CASE 751B
D SUFFIX

CASE 751D
DWSUFFIX

CASE 751G
DWSUFFIX


#
CASE 751K
DSUFFIX
CASE 751N
DWSUFFIX

CASE 775
FN SUFFIX

CASE 873A
FB SUFFIX

• •CASE 936
D2TSUFFIX
CASE 936A
D2TSUFFIX

CASE 948B
DTB SUFFIX
..
CASE 948E
DTB SUFFIX

Analog and Interface Integrated Circuits 4.2-26 Motorola Master Selection Guide
Power/Motor Control Circuits

In Brief ...
With the expansion of electronics into more and more Page
mechanical systems, there comes an increasing demand for Power Controllers .............................. 4.3-2
simple but intelligent circuits that can blend these two Zero Voltage Switch . . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-2
technologies. In the past, the task of power/motor control Zero Voltage Controller .. . . . . . . . . . . . . . . . . . . . .. 4.3-3
was once accomplished with discrete devices. But today this High-Side Driver Switch ...................... 4.3-4
task is being performed by bipolar IC technology due to cost, Motor Controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.3-4
size, and reliability constraints. Motorola offers integrated Brushless DC Motor Controllers ............... 4.3-4
circuits designed to anticipate the requirements for both Closed Loop Brushless Motor Adapter .. . . . . . . .. 4.3-7
simple and sophisticated control systems, while providing DC Servo Motor Controller/Driver .............. 4.3-8
cost effective solutions to meet the needs of the applications. Stepper Motor Driver .... . . . . . . . . . . . . . . . . . . . .. 4.3-9
Universal Motor Speed Controller ............. 4.3-10
Triac Phase Angle Controller ................. 4.3-11
Package Overview ............................ 4.3-12

Motorola Master Selection Guide 4.3-1 Analog and Interface Integrated Circuits
Power Controllers
An assortment of battery and ac line-operated controllCs for specific applications are shown. They are designed to enhance
system performance and reduce complexity in a wide variety of control applications.

Zero Voltage Switch


CA3059
TA = -40° to +85°C, Case 646

This device is designed for thyristor control in a variety of


ac power switching applications for ac input voltages of
24 V, 120 V, 208/230 V, and 227 V @ 50160 Hz.

• Limiter-Power Supply - Allows operation directly from • Protection Circuit (CA3059 only) - A built-in circuit may
an ac line. be actuated, if the sensor opens or shorts, to remove the
• Differential "On"/"Off" Sensing Amplifier - Tests for drive circuit from the external triac.
condition of external sensors or input command signals. • Inhibit Capability (CA3059 only) - Thyristor firing may
Proportional control capability or hysteresis may be be inhibited by the action of an internal diode gate.
implemented. • High Power DC Comparator Operation (CA3059 only)
• Zero-Crossing Detector - Synchronizes the output - Operation in this mode is accomplished by connecting
pulses to the zero voltage point of the ac cycle. Pin 7 to 12 (thus overriding the action of the
Eliminates RFI when used with resistive loads. zero-crossing detector).
• Triac Drive - Supplies high current pulses to the external
power controlling thyristor.

AC
Input
Voltage

Gnd
'NTCSensor ~------------------------~~--~
NOTE: Shaded Area Not Included with CA3079.

Analog and Interface Integrated Circuits 4.3-2 Motorola Master Selection Guide
Power Controllers (continued)

Zero Voltage Controller


UAA1016B
TA = -20° to +1 OO°C, Case 626
This device is designed to drive triacs 220VAC
Temp "b
with the Zero Voltage technique which Set
allows RFI free power regulation of Rt
resistive loads. They provide the following
features:
Vref
• Proportional Temperature Control Over
an Adjustable Band
• Adjustable Burst Frequency (to Comply
with Standards) Load
• Sensor Fail-Safe (NTC)
• No DC Current Component Through the Temp
Sensor
Main Line (to Comply with Standards)
• Negative Output Current Pulses (Triacs R3
Quadrants 2 and 3) "b
• Direct AC Line Operation
VAC
• Low External Components Count

Zero Voltage Controller


UAA2016P, D
TA =-20° to +S5°C, Case 626, 751
The UAA2016 is designed to drive triacs
with the Zero Voltage technique which
allows RFI free power regulation of
resistive loads. Operating directly on the ac Sense Input
power line, its main application is the
precision regulation of electrical heating
systems such as panel heaters or irons.
A built-in digital sawtooth waveform Temperature
permits proportional temperature regulation Reduction
action over a ±1 °C band around the set point.
For energy savings there is a programmable
temperature reduction function, and for
security, a sensor failsafe inhibits output Hysteresis
Adjust
pulses when the sensor connection is
broken. Preset temperature (Le., defrost)
application is also possible. In applications Voltage
Reference
where high hysteresis is needed, its value
can be adjusted up to 5°C around the set
point. All these features are implemented
with a very low external cornponent count.
• Zero Voltage Switch for Triacs, up to
2.0 kW (MAC212AS)
• Direct AC Line Operation
• Proportional Regulation of Ternperature
over a 1°C Band
• Programmable Temperature Reduction
• Preset Temperature (Le., Defrost)
• Sensor Failsafe
• Adjustable Hysteresis
• Low External Component Count

Motorola Master Selection Guide 4.3-3 Analog and Interface Integrated Circuits
Power Controllers (continued)

High-Side Driver Switch


MC3399T, ow
TJ =-40 0 to +150°C, Case 3140, 751G

The MC3399T is a high side driver


switch that is designed to drive loads from
the positive side of the power supply. The
output is controlled by a TTL compatible
Enable pin. In the "on" state, the device 50n
exhibits very low saturation voltages for
load currents in excess of 750 mAo The
device also protects the load from positive
or negative-going high voltage transients
by becoming an open circuit and isolating
the transient for its duration from the load.
The MC3399T is fabricated on a Power
BiMOS process which combines the best
features of Bipolar and MOS technologies.
The mixed technology provides higher gain
PNP output devices and results in Power
Integrated Circuits with reduced quiescent
current.

Motor Controllers
This section contains integrated circuits designed for cost effective control of specific motor families. Included are controllers
for brushless, de servo, stepper, and universal type motors.

Brushless DC Motor Controllers


Advances in magnetic materials technology and integrated controllers. These ICs provide a choice of control functions
circuits have contributed to the unprecedented rise in which allow many system features to be easily implemented
popularity of brush less dc motors. Analog control ICs are at a fraction of the cost of discrete solutions. The following
making the many features and advantages of brushless table summarizes and compares the features of Motorola's
motors available at a much more economical price. Motorola brush less motor controllers.
offers a family of monolithic integrated brushless dc motor

Table 1. Features Summary for Motorola Brushless DC Motor Controllers


Operating
Voltage Range Output .." i:::I
(V) ..
al
iii
E
~
Drivers C
f! :.5
c~
a.

:!l!0 .. .cc
~ ~~
~~ l5~f J~ ! ..
~
"U
~:::I
.. j C"I:I
ii-
~~
'SJ!! E It_
>:::1 el ~=a I~~
"Iii>
;a~ ~
ai'S ~!'g :I H E .. :Ii!
"_0 8.- a. ... .e- ~E :::I.e- 1!S- Suffix!
.5~ 1fc5 ~
"1:1" N:::I :::10
Device Vee Ve
Co
:::I...J ".c
.5cn ~8 ~jjjif
:::Ic
Ow ~.f!!!. 08~ <60 uu 1D.5 Package
Noninv. P1738,
MC33033 10-30 - v' v' v' 60°/300° v' v' v' v' Only v' - - - DWI751D
and
120°/240° Noninv. P1724,
MC33035 10-40 10-30 v' v' v' v' v' v' v' and Inv. v' v' v' v' DW1751E

Analog and Interface Integrated Circuits 4.3-4 Motorola Master Selection Guide
Motor Controllers (continued)

MC33033P, OW
TA =-40° to +85°C, Case 738, 751 D
The MC33033 is a lower cost second generation brushless Because of its low cost, the MC33033 can efficiently be
dc motor controller which has evolved from the full featured used to control brush dc motors as well as brush less. A brush
MC33034 and MC33035 controllers. The MC33033 contains dc motor can be driven using two of the three drive output
all of the active functions needed to implement a low cost open phases provided in the MC33033, while the Hall sensor input
loop motor control system. This IC has all of the key control pins are selectively tied to Vref or ground. Other features such
and protection functions of the two full featured devices with as forward/reverse, output enable, speed control, current
the following secondary features deleted: separate limiting, undervoltage lockout and internal thermal shutdown
drive-circuit supply and ground pins, the brake input, and the will still remain functional.
fault output signal. Like its MC33035 predecessor, the
MC33033 has a control pin which allows the user to select
60°/300° or 120°/240° sensor electrical phasings.

r----...,

L ___ _

Motor

vcco---<>-

Speed Set

l Faster

RT

CT

Motorola Master Selection Guide 4.3-5 Analog and Interface Integrated Circuits
Motor Controllers (continued)

MC33035P, OW
TA = -40° to +85°C, Case 724, 751 E

The MC33035 is a second generation high performance 60°/300° or 120°/240° sensor electrical phasings, and access
brush less dc motor controller which contains all of the active to both inverting and noninverting inputs of the current sense
functions required to implement a full featured open loop comparator. The earlier devices had two part numbers which
motor control system. While being pin-{;ompatible with its were needed to support the different sensor phasings, and the
MC33034 predecessor, the MC33035 offers additional inverting input to the current sense comparator was internally
features at a lower price. The two additional features provided grounded. All of the control and protection features of the
by the MC33035 are a pin which allows the user to select MC33034 are also provided in the MC33035.

----,

~----lT~iiiiiiiiiiiiiiiiiiiiiiiiiiiiii~~~~~~nJ~i ~~~I
I
I
I
I
I
L ____ J
I
Motor

Speed Set

i Faster

Analog and Interface Integrated Circuits 4.3-6 Motorola Master Selection Guide
Motor Controllers (continued)

Closed Loop Brushless Motor Adapter


MC33039P,D
TA = -40° to +85°C, Case 626, 751

The MC33039 is a high performance close loop speed detectors, a programmable monostable, and an internal shunt
control adapter specifically designed for use in brush less dc regulator. Also included is an inverter output for use in systems
motor control systems. Implementation will allow precise that require conversion of sensor phasing. Although this
speed regulation without the need for a magnetic or optical device is primarily intended for use with the MC33033/35
tachometer. These devices contain three input buffers each brushless motor controllers, it can be used cost effectively in
with hysteresis for noise immunity, three digital edge many other closed loop speed control applications.

Vcc

il>A fout

To Rotor
Position
Sensors il>B

il>C

Gnd

Motorola Master Selection Guide 4.3-7 Analog and Interface Integrated Circuits
Motor Controllers (continued)

DC Servo Motor Controller/Driver


MC33030P, ow
TA = -40° to +85°C, Case 648C, 751G

A monolithic dc servo motor controller providing all active 1.0 A, independently programmable over current monitor and
functions necessary for a complete closed loop system. This shutdown delay, and over voltage monitor. This part is ideally
device consists of an on-chip op amp and window comparator suited for almost any servo positioning application that
with wide input common mode range, drive and brake logic requires sensing of temperature, pressure, light, magnetic
with direction memory, a power H switch driver capable of flux, or any other means that can be converted to a voltage.

Motor
Vee
Feedback
Position

Vee

Reference
Position

Analog and Interface Integrated Circuits 4.3-8 Motorola Master Selection Guide
Motor Controllers (continued)

Stepper Motor Driver


MC3479P, FN
TA = 0° to +70°C, Case 648C, 775

SAA1042AV
TA = -30° to +125°C, Case 648C

These Stepper Motor Drivers provide up to 500 mA of drive clockwise and half or full step operation. The MC3479 has an
per coil for two phase 6.0 V to 24 V stepper motors. Control added Output Impedance Control (OIC) and a Phase A drive
logic is provided to accept commands for clockwise, counter state indicator (not available on SAA1042 devices).

ClK

l2
CW/CCW
Vo
l3

Full/Half
Step

l4

OIC'

Phase A' Bias/Set Gnd

, MC3479 Only

Motorola Master Selection Guide 4.3-9 Analog and Interface Integrated Circuits
Motor Controllers (continued)

Universal Motor Speed Controller


TDA108SC, CD
TA =-10° to +120°C, Case 648, 7518
The TDA 1085C is a phase angle triac controller having all
• Soft Start
the necessary functions for universal motor speed control in
washing machines. It operates in closed loop configuration • Load Current Limitation
and provides two ramp possibilities. • Tachogenerator Circuit Sensing
• On-Chip Frequency to Voltage Converter • Direct Supply from AC Line
• On-Chip Ramps Generator • Security Functions Peformed by Monitor

'Eo .~ <= <=


~
"0 1:
.~
·1
(I) "0 0> "S
'"<=
(I)
en
(I)
(I)
"-
(I)
(I)
"- ~~ :::; .~ 15 ~
0
15
0
15 %
"- en en 80 1: i= .l!!
en Cl.
0 .~ .!O:!
<= 0
OJ OJ
"0 OJ Q; (I)
e e ]l
~~
(I) 0 en .9 0 Q;
""'
Cl.

~ en
(I) 0 .<= .<=
Cl. ~ .<=
" D-:;;"
Cl.
en 0 .3 "<= ~
§
i
(I)
a::g <= "l5 ili
;m D- O)
~
(I) "0

~ ~ N 1: $
(!) (!) (I)
0>
.2' 0 ::;: Cl. en
il: ~
0 E
OJ
0 en
a: ~ 0

Analog and Interface Integrated Circuits 4.3-10 Motorola Master Selection Guide
Motor Controllers (continued)

Triac Phase Angle Controller


TDA1185A
TA =0° to + 70°C, Case 646
This device generates controlled triac triggering pulses and • Triac Current Sensed to Allow Inductive Loads
allows tach less speed stabilization of universal motors by an
• Soft-Start
integrated positive feedback function.
• Power Failure Detection and General Circuit Reset
• Low Cost External Components Count • Low Power Consumption: 1.0 mA
• Optimum Triac Firing (2nd and 3rd Quadrants)
• Repetitive Trigger Pulses when Triac Current is
Interrupted by Motor Brush Bounce

Motorola Master Selection Guide 4.3-11 Analog and Interface Integrated Circuits
Power/Motor Control Circuits Package Overview

CASE 3140
~
CASE 626 •
CASE 646
-CASE 648, 648C

,
TSUFFIX B, PSUFFIX P, V SUFFIX

- - CASE 724
PSUFFIX
CASE 738
PSUFFIX
~
CASE 751
o SUFFIX
CASE 751B
o SUFFIX

• # CASE 7510
OW SUFFIX
CASE 751E
OW SUFFIX

CASE 751G
OW SUFFIX
• CASE 775
FN SUFFIX

Analog and Interface Integrated Circuits 4.3-12 Motorola Master Selection Guide
Voltage References

In Brief ...
Motorola's line of precIsion voltage references is Page
designed for applications requiring high initial accuracy, low Precision Low Voltage References . . . . . . . . . . . . . . .. 4.4-2
temperature drift, and long term stability. Initial accuracies of Package Overview ............................. 4.4-2
±1.0%, and ±2.0% mean production line adjustments can be
eliminated. Temperature coefficients of 25 ppm/oC max
(typically 10 ppm/oC) provide excellent stability. Uses for the
references include D/A converters, AID converters,
precision power supplies, voltmeter systems, temperature
monitors, and many others.

Motorola Master Selection Guide 4.4-1 Analog and Interface Integrated Circuits
Precision Low Voltage References
A family of precision low voltage bandgap reference devices designed for applications requiring low temperature drift.

Table 1. Precision Low Voltage References


Vout 10 Voutfl' Device Regline Regload
(V) (mA) ppm/"C (mY) (mY)
Typ Max Max 0° to +70°C -400 to +85°C Max Max Package

1.235± 12 mV 20 80Typ LM385BZ-1.2 LM285Z-1.2 (Note 1) 1.0 Z,D


1.235±25 mV LM385Z-1.2 (Note 2)

2.5±38mV LM385BZ-2.5 LM285Z-2.5 2.0


2.5±75 mV LM385Z-2.5 (Note 3)

2.5±25mV 10 25 MC1403A - 3.014.5 10 D


(Note 4) (Note 5)
40 MC1403
5.0±50 mV 40 MC1404P5 - 6.0 P
(Note 6)

6.25±60 mV 40 MC1404P6 -

10±100mV 40 MC1404P10 -

2.5 to 37 100 50Typ TL431C, AC, BC TL431I, AI, BI Shunt Reference LP,P,D
Dynamic Impedance
(z)';;0.5Q

Notes: 1. Micropower Reference Diode Dynamic Impedance (z) S 1.0 n at IR = 100 ~A.
2. 10!lA SIR S 1.0 mA.
3. 20!lA S IR S 1.0 mAo
4.4.5 V S Vin S 15 VI15 V S Vin S 40 V.
5.0 mA ~ IL ~ 10 mA.
6. (VOU! + 2.5 V) ~ Vin ~ 40 V.

Voltage References Package Overview

I
CASE 29
LP,ZSUFFIX
~CASE 626
PSUFFIX
~
CASE 751
DSUFFIX

Analog and Interface Integrated Circuits 4.4-2 Motorola Master Selection Guide
Data Conversion

In Brief ...
Motorola's line of digital-te-analog and analog-to-digital Page
converters include several well established industry Data Conversion ............................... 4.5-2
standards. A-D Converters ............................. 4.5--2
The AID converters have 7 and B-bit flash converters CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.5--2
suitable for NTSC and PAL systems, CMOS has 8 to 1O-bit Bipolar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.5--2
converters, as well as other high speed digitizing applications. Sigma-Delta ............................. 4.5--2
The D/A converters have 6 and 8-bit devices, video D--A Converters ............................. 4.5--3
speed (for NTSC and PAL) devices, and triple video DAC CMOS ................................... 4.5-3
with on-board color palette for color graphics applications. Sigma-Delta ............................. 4.5--3
Package Overview ............................. 4.5--4

Motorola Master Selection Guide 4.5-1 Analog and Interface Integrated Circuits
Data Conversion
The line of data conversion products which Motorola offers to achieve functional capability, accuracy and production
spans a wide spectrum of speed and resolution/accuracy. repeatability. Bipolar technology generally results in higher
Features, including bus compatibility, minimize extemal parts speed, while CMOS devices offer greatly reduced power
count and provide easy interface to microprocessor systems. consumption.
Various technologies, such as Bipolar and CMOS, are utilized

Table 1. A-D Converters


Input Temperature
Resolution Nonlinearity Conversion Voltage Supplies Range Suffix!
(Bits) Device Max Time/Rate Range (V) ('C) Package Comments
CMOS
8 MC145040 ±1/2 LSB 1Ol-'S OtoVDD +5.0±10% -40 to +125 P/738, Requires External
DW/751D Clock, 11-Ch MUX

MC145041 2Ol-'S Includes Internal


Clock, 11-Ch MUX

MC14549B/ Successive Approximation +3.0 to +18 -40 to +85 P/648 Compatible with
MC14559B Registers MC1408 SAR.
8-bit D-A Converter
Triple MC44251 1 LSB 18MHz 1.6to 4.6 V +5.0±10% -40 to +85 FN1777 3 Separate Video
8-Bit Channels

10 MC145050 ±1 LSB 211-'s OtoVDD +5.0±10% -40 to +125 P/738, Requires External
DW/7510 Clock, 11-Ch MUX

MC145051 441-'s Includes Internal


Clock, 11-Ch MUX

MC145053 P/646, Includes Internal


0/751 A Clock, 5-Ch MUX

8-10 MC14443/ ±0.5% 300I-'S Variable +5.0 to +18 -40 to +85 P/648 , I-'P Compatible,
MC14447 Full Scale w/Supply DW1751G Single Slope,
6-Ch MUX
3-1/2 Digit MC14433 ±0.05% 40 ms ±2.0V +5.0 to +8.0 P/709, Dual Slope
±1 Count ±200mV -2.8to-8.0 DW1751E

Bipolar
8 MC10319 ±1 LSB 25 MHz Oto 2.0 Vpp +5.0 and o to +70 P/709, Video Speed Flash
Max -3.0to-6.0 DW/751F Converter, Internal
Die Form Gray Code
TTL Outputs

Sigma-Delta
16 MC145073 ±1 LSB 48 kHz 1.9Vpp 4.5 to 5.5 -40 to +85 DW/751E Dual Channel,
Sigma-Delta
architecture

Analog and Interlace Integrated Circuits 4.5-2 Motorola Master Selection Guide
Table 2. O-A Converters
Max
Accuracy Settling Temperature
Resolution @25"C Time Supplies Range Suffix!
(Bits) Device Max (± 112 LSB) (V) eC) Package Comments
CMOS
6 MC144110 - - +5.0 to +15 o to +85 P/707, Serial input, Hex DAC,
DW/751D 6 outputs

MC144111 - - P/646, Serial input, Quad DAC,


DW/751G 4 outputs

MC144112 - - +2.5 to +5.5 -40 to +85 P/646, Serial input, Quad DAC,
D/751 A 4 outputs

Triple MC44200 ±1/2 LSB 30 ns +5.0 -40 to +85 FU/824A Triple Video DAC,
8-Bit ±10% 55 MHz, TTL

Sigma-Delta
16,18,20 MC145074 See data 6.0 ns 4.5 to 5.5 -40 to +85 D/751B Dual Channel,
sheet Sigma-Delta architecture,
MC145076 FIR Filter
available
- MC145076 See data - +5.0 -40 to +85 D/751 B Dual Channel Bit Stream,
sheet 144 tap FIR Filter

Motorola Master Selection Guide 4.5-3 Analog and Interface Integrated Circuits
Data Conversion Package Overview

• CASE 646
PSUFFIX
'"
CASE 648
PSUFFIX
CASE 649
PSUFFIX

- CASE 707
PSUFFIX
~ CASE 709
PSUFFIX
-
CASE 738
PSUFFIX

CASE 751A CASE 751B CASE 751D CASE 751E


DSUFFIX DSUFFIX DWSUFFIX DWSUFFIX

CASE 751F
DWSUFFIX

CASE 751G
DWSUFFIX
CASE 777
FN SUFFIX
•CASE 824A
FUSUFFIX

Analog and Interface Integrated CircuHs 4.5-4 Motorola Master Selection Guide
Interface Circuits

In Brief ...
Described in this section is Motorola's line of interface Page
circuits, which provide the means for interfacing with Enhanced Ethernet Transceiver .................. 4.6-2
microprocessor or digital systems and the external world, or High Performance Decoder Driver/Sink Driver . . . . .. 4.6-3
to other systems. ISO 8802-3[IEEE 802.3]1 OBASE-T Transceiver ... 4.6-3
Also included are devices which allow a microprocessor Hex EIA-485 Transceiver with
to communicate with its own array of memory and peripheral Three-State Outputs ... . . . . . . . . . . . . . . . . . . . . . . .. 4.6-4
I/O circuits. 5.0 V, 200 M-BitlSec PR-IV Hard Disk
The line drivers, receivers, and transceivers permit Drive Read Channel ........................... 4.6-5
communication between systems over cables of several Line Receivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
thousand feet in length, and at data rates of up to several EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
megahertz. The common EIA data transmission standards, Line Drivers ................................... 4.6-7
several European standards, IEEE-488, and IBM 360/370 EIA Standard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
are addressed by these devices. Line Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-7
The peripheral drivers are designed to handle high EIA-232-E/V.28 CMOS Drivers/Receivers ...... 4.6-8
current loads such as relay coils, lamps, stepper motors, and Peripheral Drivers ........................... 4.6-8
others. Input levels to these drivers can be TTL, CMOS, high IEEE 802.3 Transceivers ...................... 4.6-8
voltage MOS, or other user defined levels. The display Read/Write Channel ............................ 4.6-8
drivers are designed for LCD or LED displays, and provide Drive Read Channel. . . . . . . . . . . . . . . . . . . . . . . . .. 4.6-8
various forms of decoding. CMOS Display Drivers .......................... 4.6-9
Package Overview ............................ 4.6-10

Motorola Master Selection Guide 4.6-1 Analog and Interface Integrated Circuits
Enhanced Ethernet Transceiver
MC68160FB
TA = 0° to +70°C, Case 8480
The MC68160 Enhanced Ethernet Interface Circuit is a interfaces to the Ethernet controller contained in the MC68360
BiCMOS device which supports both IEEE 802.3 Access Unit Quad Integrated Communications Controller (QUICC) device.
Interface (AUI) and 10BASE-T Twisted Pair (TP) Interface The MC68160 also interfaces easily to most other
media connections through external isolation transformers. It industry-standard IEEE 802.3 LAN controllers. Prior to
encodes NRZ data to Manchester data and supplies the twisted pair data reception, Smart Squelch circuitry qualifies
signals which are required for data communication via input signals for correct amplitude, pulse width, and sequence
10BASE-T or AUI interfaces. The MC68160 gluelessly requirements.

RX~--------------~nM~amnCtcM~s~te9rlr
RCLK Decoder 1+--------------1 ARX+
MFILT .....- - - - - - - - - - - '
ARX-
RXLED
RENA_ ~~~~~~~~L~~Y---------j
CLLED

ACX+ w
w CLSN .....; = = = ; - - -....... -1 ACX-
~TXLED ATX- ~a:
~ TE~~ ~===-.l.rMaiiCheSrterl ....w~
ATX+
~ Xt
:5
c(
Twisted
X2 : Pair
TCLK ...f - - - - - - Polarity
Error

CSt
CSOIj
CS2
TPEN
Control

APORT Mode
TPAPCE Select
TPSQEL
TPFULDL
LOOP
TPJABB TPTX+ TPTX- TPLIL TPSQEL TPRX- TPRX+ TPPLR

Analog and Interface Integrated Circuits 4.6-2 Motorola Master Selection Guide
High Performance Decoder Driver/Sink Driver
K1
MC34142DW, FN ~to-----------.
Enable
..r-,--<J Output 1
TA = 0° to +70°C, Case 751 F, 776 -0 Output 2
-0 Output 3
-0 Output 4
The MC34142 is a high performance 4 to 16 multiplexed -0 Output 5
-0 Output 6
driver. This integrated circuit features a 4 to 16 decoder, -0 Output 7
16 open drain N-channel MOS output devices with clamp It+-----O Output 8
diodes. The outputs are controlled by 4 address inputs, an Chip
Enable
output enable, and a chip enable. A Decoder
Typical applications include solenoid drivers, lED drivers, K2
B
lamp drivers, and relay drivers. Output 9
C -0 Output 10
-0 Output 11
o -0
-0
Output
Output
12
13
-0 Output 14
-0 Output 15
, ....- - - - 0 Output 16

ISO 8802-3[IEEE 802.3] 10BASE-T Transceiver


MC34055DW
TA = 0° to +70°C, Case 751 E
The Motorola 10BASE-T transceiver, designed to comply Twisted Pair (TP) media is supported through standard
with the ISO 8802-3[IEEE 802.3]10BASE-T specification, 1OBASE-T filters and transformers. Differential data intended
will support a Medium Dependent Interface (MOl) in an for the TP media is provided a 50 ns pre-emphasis and data
embedded Media Attachment Unit (MAU). The interface at the TP receiver, is screened by Smart Squelch circuitry for
supporting the Data Terminal Equipment (DTE) is TTL, specific threshold, pulse width, and sequence requirements.
CMOS, and raised ECl compatible, and the interface to the

loop Back

Balun
r------------------- JJ
5.0V

18 VCC
WR
6
I
5.0 V

c..s.D~~)l
Test Select

'-..JLlT'"Tl.I.-.J
f: I
11 t.l"J r
............. TX.J

TX-
J21 TP DIfferentIal
Dnver
_

-
Data Out Transmil
Input
CMOS H I 2
ECl 3 TX Data B
TXDataA

FIner 20~ - And Control ~ I Driver acnodntrol TTL/CMOS 14t-bo-------I


I I I Pre-Emphasis I r
I""""'!
loop l W. Jabber Disable I 4
TXENH

~.
I -I 1 I I c~:~~n
lOOP:
Back Test
L loopBack I I
Received
Data
I 8 RXDataA
TTL/CMOsH~.p;9::...---.=...-t
, I-... H~-!:I>I:-:;O-::::-:::-:""-~
RX Data B
Select 11
i trH Transmit
Output
and
ECl
TTLlCMOS RXENH

....---. RX.j

t~ IRX1_61
-=:l
TP Differential
Receiver
W/Smart Squelch
I
Idle limer

Data In
Collision
Detect TTL/CMOS
+H
,-,C",o",ntr""ol_ _ _--,
I
I 14 CTlH
b-'''-----.j
SIA

Filter 15 I Collision -+I Outputs I


Full Duplex Disable Announcement t
Duplex Mode
Select
F..;..UI_ID..,l<:JH-__- t1 Duplex Mode
17'
"I
Select
I
Collision Test '--
-. I
-II Jabber
r- I
TTL/CMOS
13 JABB H

Link Fail Disable ~ I


lNKFl H ! CI k • I
Li~o~~::-- OSci~l~tor ~Signal
.
VCC .JO\,.J
~t 12!
2knl
IITTLICMOS
,........,
I
TTL/CMOS
41
Quality
Error
TTL/CMOS
I 22 SQE EN l
I+--t>o-----~
I

L--71-r_19r5-----2&~23~r--------.J
ANA
Gnd -=
DIG
-= Gnd
CLI(~ !I~t! ClK- ClK
20 pF fff 20 pF Out
~
Duplex
PWR Mode
Gnd 10 MHz Select

Motorola Master Selection Guide 4.6--3 Analog and Interface Integrated Circuits
Hex EIA-485 Transceiver with Three-State Outputs
MC340S8IS9FTA .
TA = 0° to +70°C, Case 932

The Motorola MC3405819 Hex Transceiver is composed of • Meets EIA-485 Standard for Party Line Operation
six driver/receiver combinations designed to comply with the • Meets EIA-422A and CCITT Recommendations V.11 and
EIA-485 standard. Features include three-state outputs, X.27
thermal shutdown for each driver, and current limiting in both • Operating Ambient Temperature: O°C to +70°C
directions. This device also complies with EIA-422 and • Common Mode Driver Output/Receiver Input Range: -7.0
CCITT Recommendations V.11 and X.27. to +12 V
The devices are optimized for balanced multipoint bus • Positive and Negative Current Limiting
transmission at rates to 20 MBPS (MC34059). The driver • Transmission Rates to 14 MBPS (MC34058) and 20
outputsireceiver inputs feature a wide common mode voltage MBPS (MC34059)
range, allowing for their use in noisy environments. The • Driver Thermal Shutdown at 150°C Junction Temperature
current limit and thermal shutdown features protect the • Thermal Shutdown Active Low Output
devices from line fault conditions. • Single +5.0 V Supply, ±10%
The MC34058/9 is available in a space saving 7.0 mm 48 • Low Supply Current
lead surface mount quad package designed for optimal heat • Compact 7.0 mm 48 Lead TQFP Plastic Package
dissipation. • Skew Specified for MC34059

DE6 RE6 DRS RES DES

Gnd 36 Gnd

Gnd 35 OAS

OA6 34 OBS

OB6 DR4

DR1 0A4

OA1 OB4

OB1 DE4

DE1 29 RE4

RE1 28 OB3

OB2 10 27 OA3

0A2 11 26 Gnd

Gnd 12 25 Gnd

Gnd Gnd DE2 RE2 RE3 TSD Gnd

Analog and Interface Integrated Circuits 4.~ Motorola Master Selection Guide
5.0 V, 200 M-BitlSec PR-IV Hard Disk Drive Read Channel
MC34250FTA
TA = 0° to +70°C, Case 840F

The Motorola MC34250 is a fully integrated partial • Programmable Asymmetrical Boost of Up to ±40% of
response maximum likelihood disk drive read/write channel Nominal Filter Group Delay in Both Data and Servo
for use in zoned recording applications. This device integrates Modes
the AGC, active filter, 7 tap equalizer, Viterbi detector,
• 7 Tap Continuous Time Transversal Equalizer with 8 Bit
frequency synthesizer, servo demodulator, 8/9 rate (0,4/4)
Programmable Tap Weights and Integrated Decision
Encoder/Decoder with write precompensation and power
Directed Sign-Sign least Mean Squared Adaptation
management in a single 64 pin 10 mm x 10 mm TQFP
package. • Internal Offset Cancellation loops

FEATURES: • Fast Acquisition Data Phase locked loop with Zero


Phase Restart
• 50 to 200 MBPS Programmable Data Rate
• Programmable Data Phase locked loop Charge Pump
• 800 mW at 200 MBPS and 5.0 V Current
• Channel Monitor Output • Integrated Soft Decision Viterbi Detectors with
• Programmable AGC Charge Pump Currents with Programmable Merge References
Different Values for Data and Servo Envelope Modes and • Integrated 8/9 Rate (0,4/4) Encoder and Decoder with
Gain Gradient Mode Code Scrambler and Descrambler
• Programmable AGC Peak Detector Droop Currents with • Programmable 214/8 Bit NRZ Data Interface
Different Values for Data and Servo Envelope Modes
• Programmable Write Precompensation Delays locked to
• Separate AGC Charge Pump Outputs for Data and Servo the Frequency Synthesizer
Modes
• Differential PECl Write Data Outputs
• Programmable Dual Threshold Qualifier or Hysteresis
Comparator Type Pulse Detector for Servo Data • External Write Data Path for DC Erase or Other
Detection. Non-Encoded Data

• ERD and Polarity Outputs for Servo Timing and Raw • Integrated Write Current DAC
Encoded Data • Programmable Power Management
• Integrated 7 pole 0.05° Equiripple Linear Phase Filter with • Bi-Directional Serial Microprocessor Interface
Programmable Bandwidth from 5.0 MHz to 80 MHz and
Different Values for Both Data and Servo Modes • Various Test Modes Controlled Via the Serial
Microprocessor Interface
• Programmable Symmetrical Boost from 0 to 10 dB and
Different Values for Data and Servo Modes

Motorola Master Selection Guide 4.6-5 Analog and Interface Integrated Circuits
» ttl ttl CD ttl
(II
:J
!!!.
0
(f)
:g (f)
:IJ(J")cn-l-l
:D
(f)
:D
(f)
:D
(f)
.....
:D
(f) b
'"., 0
O
<:z
Gl (f)
m
(f)
-u
(f)
s::
-u ~ ~
<:D;gS2Q I<!
g ~ ~ .....
~
.....'" '"::'1
~
:J
C- ]23 '" :D
'"
0
.- .-
ttl OJ "TI --I ~
-u
~
'"
N
0

.,~
0
=I.
0
s::I
CD
::;- III
~
CD
'"a
CD
Servo Demodulator CD
c- (')
o
~r
"~ ATP1P/CM "
:D
I
CLAMPS ATP1M <:
:J:
...C.

VINP
C
VINM
SYNCDET ~
iir
HOLDS
8/9 (0,4/4) ENDEC
NRZ(7:0)
NRZCLK
C
~.
...
CDATA~ Mux Synchronization
-I>

~ I CSRVO h Byte Detect


READGT
WRITEGT :D
CD
WRITECLK I»
C.
0
:::r

::l
::l
WDATAP ~
Write c;-
Precompensation

-
WDATAM 0
SLEEPB U I ~I
Power
Manager g Mode
Coefficients
:::J

r:::
CD

s:
SLATCH .s
~
aiii"
I FREF~ Fre:J,Uency
Synt esizer ~ ZoneClk WCDAC
Data
J~
MCU Interface tj:gSDATA
SCLK
s:
irn
CD
ii)
g.. ::;: ::;:
(f) (f)
:E

I
0
:J -<
:z -<
:z 0 0
G) .....
I
.....
I
z
I
(f)
m
:D
:::;
m
:z
"c:
CD
-n
-u
-n
s::
CD (f)
m
Line Receivers
Table 1. EIA Standard
S= Single tprop Party
Ended Type Delay Line Strobe Power Receivers
D= Differ- of Time Opera- or Supplies Suffix! Per Companion
ential Output Max (ns) tion Enable (V) Device Package Package Drivers Comments
S TP 4000 - - +5.0 MC14C89B, P/646, 4 MC1488 EIA-232-DI
AB D/751 A MC14C88B EIA-562
R(1) 85 - - MC1489 EIA-232-D
MC1489A

S,D TP 30 V V AM26LS32 PC/648 AM26LS31 EIA-422/423


MC3486 P/648, MC3487
D/751B

35 SN75173 N/648, MC75174B EIA-422/4231


SN75175 D/751B 485

(1) R = Resistor Pull-up, TP = Totem-pole output.

Line Drivers
Table 2. EIA Standard
Output
Current t prop S= Single Party
Capa- Delay Ended Line Strobe Power Drivers
bility Time D= Differ- Opera- or Supplies Suffix! Per Companion
(mA) Max (ns) ential tion Enable (V) Device Package Package Receivers Comments
85 35 D V V +5.0 MC75174B P/648 4 SN75173 EIA-485
SN75175
48 20 MC3487 P/648, MC3486 EIA-422
D1751B AM26LS32 with 3-state
outputs
AM26LS31 PC/648
MC26LS31 D1751B
15 3500 S - ±7.0to MC14C88B P/646, MC14C89B EIA-232-DI
±12 D1751 A MC14C89AB EIA-562

10 350 ±9.0to MC1488 MC1489 EIA-232-D


±12 MC1489A

60 300 SID EIA- ±5.0 AM26LS30 PC/648 2 (422) AM26LS32 EIA-422or


422 t/ 4(423) MC3486 EIA-423
EIA- MC26LS30 D1751B Switchable
423 -

Table 3. Line Transceivers


Driver Receiver DE=Driver
Prop Prop Enable Party Power Drivers Receivers
Delay Delay RE =Receiver Line Supplies Suffix! Per Per EIA
(Max ns) Max (ns) Enable Operation (V) Device Package Package Package Standard

20 30 DE,RE V +5.0 MC34050 D1751B, 2 2 EIA-4221423


P/648
DE MC34051
23 23 DE,RE MC34058 FTAl932 6 6 EIA-485
to 14MBPS

MC34059 FTAl932 6 6 EIA-485


to 20 MBPS

Motorola Master Selection Guide 4.6-7 Analog and Interface Integrated Circuits
Table 4. EIA-232-EIV.28 CMOS Drivers/Receivers
Suffix! Power
Device Package Pins Drivers Receivers SuppUes(V) Features
MC145403 pn38, 20 3 5 ±5.0to±12
DWn51D
MC145404 4 4
MC145405 5 3
MC145406 P/648, 16 3
DWn51G,
SD/940B
MC145407 pn38, 20 +5.0 Charge Pump
DWn51D
MC145408 pn24, 24 5 5 ±5.0 to±12
DWn51E,
SD/940B
MC145583 DWn51F, 28 3 5 +3.3 to +5.0 On-board ring monitor circuit;
VF/940J charge pump, power down
MC145705 pn38, 20 2 3 +5.0 Charge Pump, Power Down
DWn51D
MC145706 3 2
MC145707 pn24, 24 3
DWn51E

Table 5. Peripheral Drivers


Output
Current Propagation Output Off State Drivers
Capability Input Delay Time Clamp Voltage Per Suffix! Logic
(rnA) Capability Max (Ils) Diode Max (V) Device Package Package Function
500 TTL,CMOS 1.0 V 50 ULN2803 8 An07 Invert
6.0Vt015V ULN2804
MOS

TTl,5.0V MC1413, B 7 P/648,


CMOS (UlN2003A) Dn51B

8.0Vt018V MC1416,B
MOS (UlN2004A)

Table 6. IEEE 802.3 Transceivers


Power Suffix!
Device Supply 10 BaseT NRZ IEEE Comments Package
MC34055 +5.0Vdc Transmit and Raised 802.3 Type Transceiver with non-return to zero (NRZ) DW/751E
Receive over ECl, 10BaseT interface. Intended for but not restricted to
4 Pins CMOS concentrators and repeator applications.
MC68160 TTl,CMOS 802.3 Type Interfaces gluelessly to Motorola's MC68360 FBl848D
10BaseTI communications controller.
AUI/NRZ

ReadIWrite Channel
Table 7. Hard Disk Drive Read Channel
Power TA Suffix!
Device Supply Comments (DC) Package
MC34250 5.0V 200 Mbps fully integrated partial response maximum likelihood hard disk Oto+70 FTAl840F
drive read/write channel which equalizes to a PR-IV shape and uses 8/9
rate (0, 4/4) coding.

Analog and Interface Integrated Circuits 4.6-8 Motorola Master Selection Guide
CMOS Display Drivers
These CMOS devices include digit as well as matrix drivers range of end equipment such as instruments, automotive
for LEOs, LCOs, and VFOs. They find applications over a wide dashboards, home computers, appliances, radios and clocks.

Table 8. Display Drivers


Drive Capability On-Chip Segment Drive
Display Type Input Format Per Package Latch Display Control Current Device
LCD Parallel BCD 7 Segments V Blank =1.0mA MC14543B
(Direct Drive)
Blank, Ripple Blank MC14544B
33 Segments 20 !JA MC145453
Serial Binary or Dots
[Compatible with the
MuxedLCD 48 Segments =200 !JA MC145000
Serial Peripheral
(1/4 Mux) or Dots
Interface (SPI) on
CMOS MCUsj 44 Segments MC145001
or Dots

LED, Parallel BCD 7 Segments Blank, Lamp Test 25 rnA MC14511B


Incandescent,
Blank, Ripple Blank, MC14513B
Fluorescent(l )
Lamp Test

- Blank 65 rnA MC14547B


Muxed LED Serial Binary 4 Digits + V Oscillator 50 rnA MC14499
(l/4Mux) [Compatible with the Decimals (Scanner) (Peak)

Muxed LED Serial Peripheral 5 Characters + Oscillator (Scanner), Ot035mA MC14489


(1/5 Mux) Interface (SPI) on Decimals or 25 Low Power Mode, (Peak)
CMOS MCUsj Lamps Dimming Adjustable
LED Parallel Hex 7 Segments + 10mA(2) MC14495-1
(Direct Drive) A thru F Indicator
(Interfaces to Parallel BCD 7 Segments - Ripple Blank, - MC14558B
Display Drivers) Enable
(1) Absolute maximum working voltage = 18 V.
(2) On--<:hip current-limiting resistor.

Table 9. Functions
Device Function Package
MCl4489 Multi-Character LED Display/Lamp Driver 738,7510
MC14495-1 Hexadecimal-to-7 Segment Latch/Decoder ROM/Driver 648,751G
MC14499 4-Digit 7-Segment LED Display Decoder/Driver with Serial Interface 707,7510
MC14511B BCD-to-7-Segment Latch/Decoder/Driver 648,751G
MC14513B BCD-to-7-Segment Latch/Decoder/Driver with Ripple Blanking 726,707
MC14543B BCD-to-7-Segment Latch/Decoder/Driver for Liquid Crystals 620,648
MC14544B BCD-to-7-Segment Latch/Decoder/Driver with Ripple Blanking 726, 707
MC14547B High-Current BCD-to-7-Segment Decoder/Driver 620,648
MC14558B BCD-to-7-Segment Decoder 620,648
MC145000 48-Segment Serial Input Multiplexed LCD Driver (Master) 709, 776
MC145001 44-Segment Serial Input Multiplexed LCD Driver (Slave) 707, 776
MC145453 33-Segment, Non-Multiplexed LCD Driver with Serial Interface 711,777

Motorola Master Selection Guide 4.6-9 Analog and Interface Integrated Circuits
Interface Circuits Package Overview

- • - -
CASE 620 CASE 646
P SUFFIX
CASE 648
N, P, PC SUFFIX
CASE 707
A SUFFIX
CASE 709
PSUFFIX

- - - CASE 711
PSUFFIX
CASE 724
PSUFFIX
CASE 726 CASE 738
PSUFFIX

CASE 751A CASE 751B CASE 7510 CASE 751E CASE 751F
o SUFFIX o SUFFIX OW SUFFIX OW SUFFIX OW SUFFIX

• •
CASE 751G
OW SUFFIX
CASE 776
FNSUFFIX
CASE 777
FNSUFFIX

CASE 840F
FTASUFFIX

• •
CASE 8480
FB SUFFIX
CASE 932
FTASUFFIX

CASE 940B
SO SUFFIX
CASE 940J
VFSUFFIX

Analog and Interface Integrated Circuits 4.6-10 Motorola Master Selection Guide
Communication Circuits

In Brief . ..
RF Page
Radio communication has greatly expanded its scope in the RF Communications ................................... 4.7-2
past several years. Once dominated by public safety radio, the Wideband IFs ...................................... 4.7-2
Wideband Single Conversion Receivers ............... 4.7-2
30 to 1000 MHz spectrum is now packed with personal and low Narrowband Single Conversion Receivers ............. 4.7-2
cost business radio systems. The vast majority of this Narrowband Dual Conversion Receivers ............... 4.7-3
equipment uses FM or FSK modulation and is targeted at short Universal Cordless Phone Subsystem ICs ............. 4.7-3
range applications. From mobile phones and VHF marine Transmitters ....................................... 4.7-3
radios to garage door openers and radio controlled toys, these Balanced Modulator/Demodulator ..................... 4.7-4
new systems have become a part of our lifestyle. Motorola Infrared Transceiver ................................ 4.7-4
Telecommunications .................................. 4.7-11
Analog has focused on this technology, adding a wide array of Subscriber Loop Interface Circuit .................... 4.7-11
new products including complete receivers processed in our PBX Architecture (Analog Transmission) .............. 4.7-12
exclusive 3.0 GHz MOSAIC® 1.5 process. New surface mount PCM Mono-Circuits ............................ 4.7-12
packages for high density assembly are available for all of Dual Tone Multiple Frequency Receiver ............ 4.7-15
these products, as well as a growing family of supporting ISDN Voice/Data Circuits ........................... 4.7-15
application notes and development kits. Integrated Services Digital Network ............... 4.7-15
Second Generation U-Interface Transceivers ...... 4.7-16
Telephone & Voice/Data Second Generation SIT-Interface Transceivers ..... 4.7-16
Traditionally, an office environment has utilized two Dual Data Link Controller ........................ 4.7-17
distinctly separate wired communications systems: Voice/Data Communication (Digital Transmission) ...... 4.7-18
telecommunications and data communications. Each had its Universal Digital Loop Transceiver . . . . . . . . . . . . . . .. 4.7-18
individual hardware components complement, and each ISDN Universal Digital Loop Transceiver II ......... 4.7-19
required its own independent transmission line system: twisted Electronic Telephone Circuit ......................... 4.7-19
Tone Ringers ..................................... 4.7-20
wire pairs for Telecom and relatively high priced coaxial cable Speech Networks ................................. 4.7-21
for Datacom. But times have changed. Today, Telecom and Speakerphones ................................... 4.7-25
Datacom coexist comfortably on inexpensive twisted wire pairs Voice Switched Speakerphone Circuit ............. 4.7-25
and use a significant number of components in common. This Voice Switched Speakerphone with
has led to the development and enhancement of PBX (Private IlProcessor Interface ........................... 4.7-27
Voice Switched Speakerphone Circuit ............. 4.7-28
Branch Exchanges) to the point where the long heralded
Family of Speakerphone ICs ..................... 4.7-29
"office of the future," with simultaneous voice and data Telephone Accessory Circuits ....................... 4.7-30
communications capability at each station, is no longer of the Audio Amplifier ................................. 4.7-30
future at all. The capability is here today! Current Mode Switching Regulator ................ 4.7-30
Motorola Semiconductor serves a wide range of 300 Baud FSK Modems ......................... 4.7-31
requirements for the voice/data marketplace. We offer both ADPCM Transcoder ............................ 4.7-31
Calling Line Identification (CLlD) Receiver ......... 4.7-32
CMOS and Analog technologies, each to its best advantage, CVSD ModulatorlDemodulator ................... 4.7-33
to upgrade the conventional analog voice systems and Summary of Bipolar Telecommunications Circuits ... 4.7-34
establish new capabilities in digital communications. Early Phase-Locked Loop Components ...................... 4.7-36
products, such as the solid-state single--chip crosspoint PLL Frequency Synthesizers ........................ 4.7-36
switch, the more recent monolithic Subscriber-Loop- Phase-Locked Loop Functions ...................... 4.7-37
Interface Circuit (SLlC), a single--chip CodeC/Filter (Mono- Package Overview ................................... 4.7-39
Circuit), the Universal Digital Loop Transceivers (UDLT),
basic rate ISDN (Integrated Services Digital Network), and
single--chip telephone circuits are just a few examples of
Motorola leadership in the voice/data area.

Motorola Master Selection Guide 4.7-1 Analog and Interface Integrated Circuits
RF Communications
Table 1. Wideband (FMlFSK) IFs
Max
Sensitivity Data Suffix!
Device Vee ICC (Typ) IF Mute RSSI Rate Notes Package

MC13055 3-12 V 25mA 20llV 40 MHz V V 2.0Mb Wideband Data IF, includes P/648,
datashaper DnS1B
MC13155 3-6 V 7.0mA IOOIlV 250 MHz - 10Mb Video Speed FM IF Dn51B

Table 2. Wideband Single Conversion Receivers - VHF


Max
Sensitivity RF Data Suffix!
Device Vee ICC (Typ) Input IF Mute RSSI Rate Notes Package

MC33S6 3-9 V 2SmA 30llV 200 MHz 10.7MHz V V 500 kb Includes front end mixer/L.O. pn38,
DWn51D
MC13156 2-£ V 5.0mA 2.01lV 500 MHz 21.4MHz - CT-2 FM/Demodulator DWn51E,
FB/873

MC13158 2-£ V 6.0mA >1.2Mb FM IF/Demodulator with FTB/873


split IF for DECT

Table 3. Narrowband Single Conversion Receivers - VHF


12 dB
SINAD Max
Sensitivity RF Data Suffix!
Device Vee ICC (Typ) Input IF Mute RSSI Rate Notes Package

MC3357 4-8 V 5.0mA 5.01lV 45 MHz 455 kHz V - >4.8kb Ceramic Quad P/648,
Detector/Resonator Dn51B
MC3359 4-9 V 7.0mA 2.01lV Scan output option pn07,
DWnS1D
MC3361C 2-8 V 6.0mA 60 MHz >2.4 kb Lowest cost receiver P648,
Dn51B
MC3371 V >4.8kb RSSI P/648,
Dn51B
MC3372, A RSSI, Ceramic Quad
Detector/Resonator
MC13150 3-6 V 1.8mA 1.01lV 500 MHz >9.6 kb Coilless Detector with FTB/873,
V Adjustable Bandwidth FTA/977
110
dB

Analog and Interface Integrated Circuits 4.7-2 Motorola Master Selection Guide
RF Communications (continued)
Table 4. Narrowband Dual Conversion Receivers - FM/FSK - VHF
12dB
SINAD IF2
Sensitivity RF (Limiter Data Suffix!
Device VCC ICC (Typ) Input IFl In) Mute RSSI Rate Notes Package
MC3362 2-7 V 3.0mA 0.7~V 180 10.7 455 kHz - V > 4.8 Includes buffered Pf724,
MHz MHz kb VCOoutput DWf751E

r----
MC3363 4.0mA 0.4 ~V V Includes RF DWf751F
amp/mute

MC3335 0.7~V Low cost version DWf751D,


Pf738
r----
MC13135 1.0~V - Voltage buffered DWf751E,
RSSI, LC Quad P/724
Detector
MC13136 Voltage Buffered
RSSI, Ceramic
Quad Detector

Table 5. Universal Cordless Phone Subsystem ICs


Programmable
Dual Compander Low Rx. Tx Trim Gain
Conversion Universal and Audio Voice Battery and LBO Voltage Suffix!
Device VCC ICC Receiver DualPLL Interface Scrambler Detect Reference Package
MC13109 2.0--5.5 V Active Mode V V V - 1 - FB/848B,
6.7mA FTAl932
Inactive Mode
40~A

MC13110 2.7-5.5 V Active Mode V V V V 2 V FB/848B


8.2mA
Inactive Mode
60~

MC13111 2.7-5.5 V Active Mode V V V - 2 V FB/848B


8.2mA
Inactive Mode
60~

Table 6. Transmitters - AM/FM/FSK


MaxRF Max
Freq Mod Suffix!
Device VCC ICC Pout Out Freq Notes Package
MC2833 3--8 V 10mA --30 dBm 150 MHz 50 kHz FM transmitter. Includes two frequency P/648,
to multiplier/amplifier transistors Df751B
+10dBm
MC13175 2-5 V 40mA 8.0dBm 500 MHz 5.0 MHz AM/FM transmitter. Single frequency PLL Df751B
fout = 8 x fref, includes power down function
MC13176 1.0GHz fout = 32 x fref, includes power down function

Motorola Master Selection Guide 4.7--3 Analog and Interface Integrated Circuits
Table 7. Balanced Modulator/Demodulator
Suffix!
Device Vce lec Function Package
MC1496 3-5 V lOrnA General purpose balanced modulator/demodulator for AM, SSB, FM detection P/646,
with Carrier Balance >50 dB 0/751 A

Table 8. Infrared Transceiver


12 dB
SINAD
Sensitivity Max Data Suffix!
Device Vee Ice (Typ) IF Freq Carr Del RSSI Rate Notes Package
MC13173 3-5 V 6.5 rnA 5.01lV 10.7 V V 200 kb Includes Single Frequency FTBl873
MHz PLL for Tx Carrier and Rx Lo

Universal Cordless Telephone Subsystem IC


Me13109FB, FTA
TA =_40° to +85°C, Case 848B, 932
The MC131 09 integrates several of the functions required • Dual Universal Programmable PLL
for a cordless telephone into a single integrated circuit. This - Supports New 25 Channel U.S. Standard with No
significantly reduces component count, board space External Switches
requirements, and external adjustments. It is designed for use - Universal Design for Domestic and Foreign CT-1
in both the handset and the base. Standards
- Digitally Controlled Via a Serial Interface Port
• Dual Conversion FM Receiver
- Receive Side Includes 1st LO VCO, Phase Detector,
- Complete Dual Conversion Receiver - Antenna Input and 14-Bit Programmable Counter and 2nd LO with
to Audio Output 80 MHz Maximum Carrier Frequency 12-Bit Counter
- RSSI Output - Transmit Section Contains Phase Detector and 14-Bit
- Carrier Detect Output with Programmable Threshold Counter
- Comparator for Data Recovery - MPU Clock Output Eliminates Need for MPU Crystal
- Operates with Either a Quad Coil or Ceramic • Supply Voltage Monitor
Discriminator
- Externally Adjustable Trip Point
• Compander
• 2.0 to 5.5 V Operation with One-Third the Power
- Expandor Includes Mute, Digital Volume Control and
Consumption of Competing Devices
Speaker Driver
- Compressor Includes Mute, ALC and Limiter

Rxln---

Rx
Out
Carrier
Detect Data
Out
Tx In
SPI
Tx Out
Low
Tx VCO Battery
Indicator

Analog and Interface Integrated Circuits 4.7-4 Motorola Master Selection Guide
Universal Cordless Telephone Subsystem IC with Scrambler
MC13110FB
TA = -40° to +85°C, Case 848B

The MC1311 0 integrates several of the functions required • Dual Universal Programmable PLL
for a cordless telephone into a single integrated circuit. This - Supports New 25 Channel U.S. Standard with New
significantly reduces component count, board space External Switches
requirements, and external adjustments. It is designed for use - Universal Design for Domestic and Foreign CT-1
in both the handset and the base. Standards
- Digitally Controlled Via a Serial Interface Port
• Dual Conversion FM Receiver - Receive Side Includes 1st LO VCO, Phase Detector,
- Complete Dual Conversion Receiver - Antenna In to and 14-Bit Programmable Counter and 2nd LO with
Audio Out 80 MHz Maximum Carrier Frequency 12-Bit Counter
- RSSI Output - Transmit Section Contains Phase Detector and 14-Bit
- Carrier Detect Output with Programmable Threshold Counter
- Comparator for Data Recovery - MPU Clock Outputs Eliminates Need for MPU Crystal
- Operates with Either a Quad Coil or Ceramic • Supply Voltage Monitor
Discriminator
- Provides Two Levels of Monitoring with Separate
• Compander Outputs
- Expandor Includes Mute, Digital Volume Control, - Separate, Adjustable Trip Points
Speaker Driver, 3.5 kHz Low Pass Filter, and Pro-
• Frequency Inversion Scrambler/Descrambler
grammable Gain Block
- Compressor Includes Mute, 3.5 kHz Low Pass Filter, - Can Be Enabled/Disabled Via MPU Interface
Limiter, and Programmable Gain Block - Programmable Carrier Modulation Frequency
• 2.7 to 5.5 V Operation with One-Third the Power
Consumption of Competing Devices

Rxln

Rx PO Out

Rx PO In Rx
Out
Carrier
Detect

Tx In

Tx Out
Low
Tx VCO Battery
Indicator

Motorola Master Selection Guide 4.7-5 Analog and Interface Integrated Circuits
Narrowband FM Receiver
MC13135/136P, ow
TA = -40° to +85°C, Case 724, 751E

The MC13135 is a full dual conversion receiver with improved mixer third order intercept enables the MC13135 to
oscillators, mixers, Limiting IF Amplifier, Quadrature accommodate larger input signal levels.
Discriminator, and RSSI circuitry. It is designed for use in • Complete Dual Conversion Circuitry
security systems, cordless phones, and VHF mobile and • Low Voltage: 2.0 to 6.0 Vdc
portable radios. Its wide operating supply voltage range and • RSSI with Op Amp: 65 dB Range
low current make it ideal for battery applications. The • Low Drain Current: 3.5 mA Typical
Received Signal Strength Indicator (RSSI) has 65 dB of • Improved First and Second Mixer 3rd Order Intercept
dynamic range with a voltage output, and an operational • Detector Output Impedance: 25 n Typically
amplifier is included for a dc buffered output. Also, an

Vee

"f 0.t

RFin
..

Audio
Ol!lPut

RSSI
Output

455kHz
o Quad Coil
Toko
7MC-<lt28Z

Analog and Interface Integrated Circuits 4.7-6 Motorola Master Selection Guide
Narrowband FM Coilless Detector IF Subsystem
MC13150FTA, FTB
TA = -40° to +85°C, Case 977, 873

The MC13150 is a narrowband FM IF subsystem targeted Applications for the MC13150 include cellular, CT-1
at cellular and other analog applications. Excellent high 900 MHz cordless telephone, data links and other radio
frequency performance is achieved, with low cost, through systems utilizing narrowband FM modulation.
use of Motorola's MOSAIC 1.5™ RF bipolar process. The • Linear Coilless Detector
MC13150 has an onboard Colpitts VCO for Crystal controlled • Adjustable Demodulator Bandwidth
second LO in dual conversion receivers. The mixer is a double • 2.5 to 6.0 Vdc Operation
balanced configuration with excellent third order intercept. It • Low Drain Current: < 2.0 mA
is useful to beyond 200 MHz. The IF amplifier is split to • Typical Sensitivity of 2.0 ~V for 12 dB SINAD
accommodate two low cost cascaded filters. RSSI output is • IIP3, Input Third Order Intercept Point of a dBm
derived by summing the output of both IF sections. The • RSSI Range of Greater Than 100 dB
quadrature detector is a unique design eliminating the • Internal 1.4 kO Terminations for 455 kHz Filters
conventional tunable quadrature coil. • Split IF for Improved Filtering and Extended RSSI Range

LO Input

A,,," ,.------------0 Enable


, - - - - - - - - 0 RSSI

Mixer
Out
t. 220

1.5k
n
RSSI
1 - - - 0 Buffer

1 - - -_ _1---0 Detector
Output

RL
100k

V18-V17 = 0;
flF =455 kHz

Motorola Master Selection Guide 4.7-7 Analog and Interface Integrated Circuits
Wideband FM IF System
MC13156DW, FB
TA =-40° to +85°C, Case 751 E, 873
The MC13156 is a wideband FM IF subsystem targeted at Applications for the MC13156 inciudeCT-2, wideband
high performance data and analog applications. Excellent data links, and other radio systems utilizing GMSK, FSK or FM
high frequency performance is achieved, with low cost, modulation.
through use of Motorola's MOSAIC 1.5™ RF bipolar process. • 2.0 to 6.0 Vdc Operation
The MC13156 has an onboard Colpitts VCO for PLL • Typical Sensitivity of 6.0 J.lV for 12 dB SINAD
controlled multichannel operation. The mixer is useful to • RSSI Dynamic Range Typically 80 dB
beyond 200 MHz and may be used in a differential, balanced, • High Performance Data Shaper for Enhanced CT-2
or single-ended configuration. The IF amplifier is split to Operation
accommodate two low cost cascaded filters. RSSI output is • Internal 300 Q and 1.4 kQ Terminations for 10.7 MHz and
derived by summing the output of both IF sections. A precision 455 kHz Filters
data shaper has a hold function to preset the shaper for fast • Split IF for Improved Filtering and Extended RSSI Range
recovery of new data.

1-------------,
I MC13156 I
144.455 MHz I I
RF Input

.---------+--0 Carrier
Detect

RSSI
1--_--.-----+--0 Output
10 n

430
Data Slicer
f---------+--Q Hold

10k

Data
' - - - - - - - - - + - - 0 Output
10.7 MHz
Vee
"::" lOOn

lOOk

Vee

+
I 1.011

Analog and Interface Integrated Circuits 4.7-8 Motorola Master Selection Guide
Wideband FM IF Subsystem
MC13158FTB
TA =-40° to +85°C, Case 873
The MC13158 is a wide band IF subsystem that is designed Applications include DECT, wideband wireless data links
for high performance data and analog applications. Excellent for personal and portable laptop computers and other battery
high frequency performance is achieved, with low cost, operated radio systems which utilize GFSK, FSK or FM
through the use of Motorola's MOSAIC 1.5™ RF bipolar modulation.
process. The MC13158 has an on-board grounded collector • Designed for DECT Applications
VCO transistor that may be used with a fundamental or • 1.8 to 6.0 Vdc Operating Voltage
overtone crystal in single channel operation or with a PLL in • Low Power Consumption in Active and Standby Mode
multi-channel operation. The mixer is useful to 500 MHz and • Greater than 600 kHz Detector Bandwidth
may be used in a balanced differential or single ended • Data Slicer with Special Off Function
configuration. The IF amplifier is split to accommodate two low • Enable Function for Power Down of Battery Operated
cost cascaded filters. RSSI output is derived by summing the Systems
output of both IF sections. A precision data shaper has an Off • RSSI Dynamic Range of 80 dB Minimum
function to shut the output "off" to save current. An enable • Low External Component Count
control is provided to power down the IC for power
management in battery operated applications.

Mix Mix Ose Ose


In2 Inl N/C Emil Base N/C

Mix Out RSSI

VCCI RSSI Buf

IF Deel OS Out

Lim In Det Out

Lim Lim N/C Lim Quad NlC Det VEE2


Deel Dee2 Out Gain

Motorola Master Selection Guide 4.7-9 Analog and Interface Integrated Circuits
UHF, FM/AM Transmitter
MC131751176D
TA =0° to +70°C, Case 751 B
The MC13175 and MC13176 are one chip FM/AM • Low Number of External Parts Required
transmitter subsystems designed for AM/FM communication • Low Operating Supply Voltage (1.8-5 Vdc)
systems operating in the 260 to 470 MHz band covered by • Low Supply Drain Currents
FCC Title 47; Part 15. They include a Colpitts crystal reference • Power Output Adjustable (Up to +10 dBm)
oscillator, UHF oscillator, +8 (MC13175) or +32 (MC13176) • Differential Output for Loop Antenna or Balun
prescaler, and phase detector forming a versatile PLL system. Transformer Networks
Another application is as a local oscillator in a UHF or 900 MHz • Power Down Feature
receiver. MC13175/176 offer the following features: • ASK Modulated by Switching Output "On"rOW
• UHF Current Controlled Oscillator • MC13175 - fo = 8 x fref
• Use Easily Available 3rd Overtone or Fundamental • MC13176 - fo = 32 x fref
Crystals for Reference

Coilcraft
15Q-()5J08

81

100 Pf
MC13176.L MC13175 r
--3o;,~----M-C-1131~17-&-3-0--~-+-0:;:-Mc1;7;:
MC13176-180Pp t e
Cry.1a1
Fundamen1al
VCC 0.82" I 10 MHz
MC13175 VCC

3m~::ne 1 k -;- r=-;-


40.0000 MHz

Analog and Interface Integrated Circuits 4.7-10 Motorola Master Selection Guide
Telecommunications
Subscriber Loop Interface Circuit (SLlC)
MC33120/1P, FN
TA = -40° to +85°C, Case 738, 776

With a guaranteed minimum longitudinal balance of 58 dB, • Retu rn Loss


the MC33120/1 is ideally suited for Central Office applications, • DC Loop Current Limit and Battery Feed Resistance
as well as PBXs, and other related equipment. Protection and • Longitudinal Impedance
sensing components on the two-wire side can be • Single and Double Fault Sensing and Protection
non-precision while achieving required system performance. • Minimum 58 dB Longitudinal Balance (2-wire and 4-wire)
Most BORSHT functions are provided while maintaining low Guaranteed
power consumption, and a cost effective design. Size and • Digital Hook Status and Fault Outputs
weight reduction over conventional transformer designs • Power Down Input
permit a higher density system. • Loop Start or Ground Start Operation
• All Key Parameters Externally Programmable with • Size & Weight Reduction Over Conventional Approaches
Resistors: • Available in 20 Pin DIP and 28 Pin PLCC Packages
• Transmit and Receive Gains • Battery Voltage: -42 to -58 V (for MC33120),
• Transhybrid Loss -21.6 to-42 V (for MC33121)

L
-::;-'""-:--:----:--:-<?-- (+5>0
.----(j>--t"-:-------t--:-----:----------;-;::-=;:j-~>-=-::::;'"""--:--n-------;:::::;-~-t::>>::-::-~>t,...,.=>~>~:-?!:.,.-:::> vDD
V)
>.---".-(;14-- VDG
(Dig. Gnd
r-'........()oo- PDI/8T2

:t~::~~~~~~:l~!=l===~~~~~~~~~~Ff~~Ff~::~F=::~~
I' """'.-.,-()01>--- 8T1
VAG
(Ana. Gnc

>'-;:::::;:!=;:t:!=t--"9">--- RXI

.:>:-......--o----l~ TXO

W-~:.::~=~~>-. RFO
,-:--'-.....,..-'::--'::-"---'--'-'"""""'"'0-- CF

~6-!:======::::!======~~--_.:.~--"'--....J.
_ _ .i....:. _ _ _ _ _ _ _ ____ ~ .. >'.
_ _ _ _ _ _ _ :.;;..i....:..i....:..:,,;,,>
~_. ..i....:.>.:.;;. _____ JI

(Battery)
, Indica1es Trimmed Resistor

Motorola Master Selection Guide 4.7-11 Analog and Interface Integrated Circuits
PBX Architecture (Analog Transmission)
PCM Mono-Circuits Codec-Filters (CMOS LSI)

MC145500 Series MC145554/57/64/67


Case 648, 708, 751G, 776 Case 648, 7510, 751G, 738
The Mono-circuits perform the digitizing and restoration of These per channel PCM Codee-Filters perform the voice
the analog signals. In addition to these important functions, digitization and reconstruction as well as the band limiting and
Motorola's family of pulse-code modulation mono-circuits smoothing required for PCM systems. They are designed to
also provides the band-limiting filter functions - all on a single operate in both synchronous and asynchronous applications
monolithic CMOS chip with extremely low power dissipation. and contain an on-chip precision voltage reference. The
The Mono-circuits require no external components. They MC145554 (Mu-Law) and MC145557 (A-Law) are general
incorporate the bandpass filter required for antialiasing and purpose devices that are offered in 16 pin packages. The
60 Hz rejection, the AlD-D/A conversion functions for either MC145564 (Mu-Law) and MC145567 (A-Law), offered in 20
U.S. Mu-Law or European A-Law companding formats, the pin packages, add the capability of analog loop-back and
low-pass filter required for reconstruction smoothing, an push-pull power amplifiers with adjustable gain.
on-board precision voltage reference, and a variety of options All four devices include the transmit bandpass and receive
that lend flexibility to circuit implementations. Unique features lowpass filters on-chip, as well as active RC pre-filtering and
of Motorola's Mono-circuit family include wide power supply post-filtering. Fully differential analog circuit design assures
range (6.0 to 13 V), selectable on-board voltage reference lowest noise. Performance is specified over the extended
(2.5, 3.1, or 3.8 V), and TIL or CMOS I/O interface. temperature range of -40° to +85°C.
Motorola supplies three versions in this series. The These PCM Codec-Filters accept both industry standard
MC145503 and MC145505 are general-purpose devices in clock formats. They also maintain compatibility with
16 pin packages designed to operate in digital telephone or Motorola's family of MC3419/MC33120 SLiC products.
line card applications. The MC145502 is the full-feature
device that presents all of the options available on the chip. MC145480P, OW, SO
This device is packaged in a 22 pin DIP and 28 pin chip carrier
Case 738, 7510, 940C
package.
This 5.0 V, general purpose per channel PCM Codec-Filter
offers selectable Mu-Law or A-Law companding in 20 pi n 01 P,
Txl------,
SOG and SSOP packages. It performs the voice digitization
TOC and reconstruction as well as the band limiting and smoothing
- Tx required for PCM systems. It is deSigned to operate in both
TOE
+ Tx synchronous and asynchronous applications and contains an
TOO on-chip precision reference voltage (1.575 V).
The transmit bandpass and receive lowpass filters, and the
active RC pre-filtering and post-filtering are incorporated, as
well as fully differential analog circuit design for lowest noise.
CCI Push-pull 300 n power drivers with external gain adjust are
VAG
MSI also included.
The MC145480 PCM Codec-Filter accepts a variety of
clock formats, including short-frame sync, long-frame sync,
RSI
RXO IDL, and GCI timing environments. This device also maintains
Vref compatibility with Motorola's family of Telecom products,
including the MC145472 U-Interface Transceiver,
RxG ....- -..... MC145474175 SIT-Interface Transceiver, MC145532
ROD ADPCM Transcoder, MC145422126 UDLT-I, MC145421/25
UDLT-II, and MC3419/MC33120 SLiC.
RxO RCE

ROC

VSS-
VOO-
---- POI
MulA
VLS

Analog and Interface Integrated Circuits 4.7-12 Motorola Master Selection Guide
PBX Architecture (continued)

MC14LC5540P, OW, FU
Case 710, 751F, 873

The MC14LC5540 ADPCM Codec is a single chip The ADPCM Codec is designed to meet the 32 kbps
implementation of a PCM Codec-Filter and an ADPCM ADPCM conformance requirements of CCITT
encoder/decoder, and therefore provides an efficient solution Recommendation G.721 (1988) and ANSI T1.301 (1987). It
for applications requiring the digitization and compression of also meets ANSI T1.303 and CCITT Recommendation G.723
voiceband signals. This device is designed to operate over a for 24 kbps ADPCM operation, and the 16 kbps ADPCM
wide voltage range, 2.7 V to 5.25 V, and as such is ideal for standard, CCITT Recommendation G.726. This device also
battery powered as well as ac powered applications. The meets the PCM conformance specification of the CCITT
MC14LC5540 ADPCM Codec also includes a serial control G.714 Recommendation.
port and internal control and status registers that permit a
microcomputer to exercise many built-in features.

Figure 25. MC14LC5540 ADPCM Codec Block Diagram

Motorola Master Selection Guide 4.7-13 Analog and Interface Integrated Circuits
PBX Architecture (continued)

MC145537EVK
ADPCM Codec Evaluation Kit

The MC145537EVK is the primary tool for evaluation and • Easily Interfaced to Test Equipment, Customer System,
demonstration of the MC14LC5540 ADPCM Codec. It Second MC145537EVK or MC145536EVK (5.0 V Only)
provides the necessary hardware and software interface to for Full Duplex Operation
access the many features and operational modes of the • Convenient Access to Key Signals
MC14LC5540 ADPCM Codec. • Piezo Loudspeaker
• Provides Stand Alone Evaluation on Single Board • EIA-232 Serial Computer Terminal Interface for Control
• The kit provides Analog-ta-Analog, Analog-to-Digital or of the MC14LC5540 ADPCM Codec Features
Digital-to-Analog Connections - with Digital Connections • Compatible Handset Provided
being 64 kbps PCM, 32 or 24 kbps ADPCM, or 16 kbps • Schematics, Data Sheets, and User's Manual Included
CCITT G.726 or Motorola Proprietary ADPCM
• +5.0 V Only Power Supply, or 5.0 V Plus 2.7 to 5.25 V
Supply

Figure 26. MC145537EVK Block Diagram

+5.0 V
- r- -Gnd
r-
+3.0 V
--

Piezo
I~I~I~I
Speaker

Clock Generation
Circuitry CIocks

1
I 5.0 V/3.0 V
Level Shift
I MC145407
EIA-232 Driver/Receiver EI A-232

1 I SCI

Analog MC14LC5540 3.0 V/5.0 V MC68HC705C8


Interface ADPCMCodec Level Shift Microcontrolier
5.0 V/3.0 V

Analog and Interface Integrated Circuits 4.7-14 Motorola Master Selection Guide
PBX Architecture (continued)

MC145536EVK
Codeo-Filter/ADPCM Transcoder Evaluation Kit
ISDN Voice/Data Circuits
The MC145536EVK is the primary tool for evaluation and Integrated Services Digital Network
demonstration of the MC145480 Single +5.0 V supply PCM ISDN is the revolutionary concept of converting the present
Codeo-Filter and the MC145532 ADPCM Transcoder (see analog telephone networks to an end-to-end global digital
"Telephone Accessory Circuits"). The MC145536EVK network. ISDN standards make possible a wide variety of
provides the necessary hardware needed to evaluate the services and capabilities that are revolutionizing
many separate operating modes under which the MC145480 communications in virtually every industry.
and MC145532 are intended to operate. Motorola's ISDN product family includes the MC14LC5472
and MC145572 U-Interface Transceivers, the MC145474/75
• Provides Stand Alone Evaluation on a Single Board and MC145574 SIT-Interface Transceivers, MC145488 Dual
• Easily Interfaced to Test Equipment, Customer System, Data Link Controller, and the MC68302 Integrated
or Second MC145536EVK Multi-Protocol Processor. These are supported by a host of
• Convenient Access to Key Signals related devices including the MC145480 +5.0 V PCM
• Generous Wire-Wrap Area for Application Development Codeo-Filter, MC145532 ADPCM Transcoder, MC14LC5540
• The kit provides Analog-to-Analog, Analog-to-Digital, or ADPCM Codec, MC145500 family of single-chip
Digital-to-Analog Connections - with Digital Connections codec/filters, MC145436A DTMF Decoder, MC33120
Being 64 kbps PCM; 32, 24, or 16 kbps Subscriber.Loop Interface Circuit, MC34129 Switching Power
Motorola Proprietary ADPCM Supply Controller, and the MC145406/07 CMOS EIA 232-E
• Compatible Handset Included Driverl Receiver family.
• Schematics, Data Sheets, and User's Manual included Motorola's key ISDN devices fit into four ISDN network
applications: a digital subscriber line card, an NT1 network
r--------------,
termination, an ISDN terminal adapter, and an ISDN terminal.
I Digital subscriber line cards are used in central offices, remote
I Clocks
concentrators, channel banks, T1 multiplexers, and other
I
I switching equipment. The NT1 network termination block
I illustrates the simplicity of remote U- to SIT-interface
I conversion. The ISDN terminal adapter and ISDN terminal
block show how Motorola ICs are used to combine voice and
Analog Digital data in PC compatible boards, digital telephones, and other
Interlace Interlace terminal equipment. Expanded applications such as a PBX
may include these and other Motorola ISDN circuits. Many
I "non-ISDN" uses, such as pairgain applications, are
I appropriate for Motorola's ISDN devices as well.
IL _ ___________ _
MC145536EVK

Dual Tone Multiple Frequency Receiver


MC145436AP, ow
Case 646, 751G
This device contains the filter and decoder for detection of
a pair of tones conforming to the DTMF standard with outputs
in hexadecimal. Switched capacitor filter technology is used
together with digital circuitry for the timing control and output
circuits. The MC145436A provides excellent power-line noise
and dial tone rejection.
Replaces MC145436P, OW.

Motorola Master Selection Guide 4.7-15 Analog and Interface Integrated Circuits
ISDN Voice/Data Circuits (continued)

Second Generation standard maintenance channel functions. This flexible feature


also allows for easy implementation of proprietary
U-Interface Transceivers maintenance functions.
MC145572PB
Case 842D Second Generation
MC145572FN SIT-Interface Transceivers
Case 777 MC145574PB
The MC145572 fully conforms to ANSI T1.601-1992, the Case 736B
North American standard for ISDN Basic Access on a single
twisted-wire pair. The transceiver achieves a remarkable 10-7 MC145574DW
bit error rate performance on all ANSI specified test loops with Case 837A
worst-case impairments present. The state-of-the-art 0.65 The MC145574 Srr-Interface Transceivers provide a
micron single-chip solution uses advanced design techniques CCITT 1.430 compatible interface for use in line card, network
to combine precision analog signal processing elements with termination, and ISDN terminal equipment applications.
three digital signal coprocessors to build an adaptively Manufactured with Motorola's advanced 0.65 micron CMOS
equalized echo cancelling receiver. mixed analog and digital process technology, the MC145574 is
Two modes of handling U-interface maintenance functions a physical layer device capable of operating in point-to--point
are provided on the MC145572.ln the automatic maintenance or poinHo-multipoint passive bus arrangements. In addition,
mode the U-interface transceiver handles all ANSI specified the MC145574 implements the optional NT1 Star topology, NT
maintenance and channel procedures internally to minimize terminal mode and TE slave mode.
your software development effort. Automatic procedures This device features outstanding transmission
include generating and monitoring the cyclic redundancy performance. It reliably transmits over 1 kilometer in a
check, reporting and counting far end block errors (near end point-to-point application. Comparable performance is
block errors too), handling the ACT and DEA bits, as well as achieved in all other topologies as well. Other features include
monitoring and appropriately responding to embedded pin selectable terminal or network operating modes, industry
operations channel messages. standard microprocessor serial control port, full support of the
The MC145572 has 275 mW maximum power dissipation. multiframing Sand Q channels, a full range of loopbacks, and
It also has an enhanced TDM interface that supports an low power CMOS operation, with a maximum power
on-chip timeslot assigner, GCI and IDL modes of operation. consumption of 90 mW.
The optional manual maintenance mode lets you choose The MC145574 has an enhanced TDM interface that
an inexpensive microcontroller, such as a member of supports GCI, IDL and an on-chip timeslot assigner.
Motorola's MC68HC05 family, to control and augment the

TA NT1
MC145488 MC145574 LT
MC145574 MC145572
SCP MC145572
GCI IDL
DDLC SfT SIT U
IDL Chip Chip U C
Chip SCP e

NT1fTA r
a
I
SfT
Chip o
SCP LT f
f
MC68302 MC145572 MC145572
I
IDL
c
U e
RS232 Chip SCP

Analog and Interface Integrated Circuits 4.7-16 Motorola Master Selection Guide
ISDN Voice/Data Circuits (continued)

Dual Data Link Controller


MCl45488FN MC14LC5494EVK
Case 779 U-Interface Transceiver Evaluation Kit discontinued

The MC145488 features two full-duplex serial HDLC


MC145572EVK
channels with an on--chip Direct Memory Access (DMA)
U-Interface Transceiver Evaluation Kit
controller. The DMA controller minimizes the number of
microprocessor interrupts from the communications
This kit provides the hardware and software to evaluate the
channels, freeing the microprocessor's resources for other
many configurations under which the MC145572EVK is able
tasks. The DMA controller can access up to 64 kbytes of
to operate. Used as a whole, it operates as both ends of the
memory, and transfers either 8-bit bytes or 16-bit words to or
two-wire U interface that extends from the customer premises
from memory. The MC145488 DDLC is compatible with
(NT1) to the switch line card (LT). The two halves of the b.o~rd
Motorola's MC68000 and other microprocessors.
can be physically and functionally separated, providing
In a typical ISDN terminal application, one DDLC
independent NT1 and LT evaluation capability.
communications channel supports the D--channel (LAP D)
The kit provides the ability to interactively manipulate
while the other supports the B--channel (LAPS). While the
status registers in the MC145572EVK U-Interface transceiver
DDLC is ideally suited for ISDN applications, it can support
or in the MC145474n5 SIT-Interface transceiver with the aid
many other HDLC protocol applications as well.
of an external terminal. The device can also be controlled
Some of the powerful extras found on the DDLC include
using the MC68302 Integrated Multiprotocol Processor
automatic abort and retransmit of D--channel collisions in
application development system to complete a total Sasic
SIT-interface applications, address recognition, automatic
Rate ISDN evaluation solution.
recovery mechanisms for faulty frame correction, and several
system test modes. Address recognition provides a reduction
in the host microprocessor load by filtering data frames not
addressed to the host. The DDLC can compare either SAPI or
TEl fields of LAPD frames. For LAPD (Q.921) applications,
both A and S addresses may be checked.
2B1Q U-lnterface

NTI Side LT Side


SIT
Interface IDL
SIT-Interface 1"" - -- U-Interface
Transceiver I SCP Transceiver
MC145474 I MCI45572FN
__ -.J
IDL.-
SCP

Gated
Clocks
L---..!f----t---J.. SCP

MCI45572EVK

Motorola Master Selection Guide 4.7-17 Analog and Interface Integrated Circuits
Voice/Data Communication UDLTs utilize a 256 kilobaud Modified Differential Phase
Shift Keyed (MDPSK) burst modulation technique for
(Digital Transmission) transmission to minimize radio frequency, electromagnetic,
and crosstalk interference. Implementation through CMOS
2-Wire Universal Digital Loop technology takes advantage of low-power operation,
Transceiver (UDLT) increased reliability, and the proven capabilities to perform
complex telecommunications functions.
MC145422P, ow Master Station Functional Features
Case 708, 751 E • Provides Synchronous Duplex 64 kbitslSecond
Voice/Data Channel and Two 8 kbits/Second Signaling
MC145426P, OW Slave Station Data Channels Over One 26 AWG Wire Pair Up to 2 km.
• Compatible with Existing and Evolving Telephone Switch
Case 708, 751E
Architectures and Call Signaling Schemes
The UDLT family of transceivers allows the use of existing • Automatic Detection Threshold Adjustment for Optimum
twisted-pair telephone lines (between conventional Performance Over Varying Signal Attenuations
telephones and a PBX) for the transmission of digital data. • Protocol Independent
With the UDLT, every voice-only telephone station in a PBX • Single 5.0 V to 8.0 V Power Supply
system can be upgraded to a digital telephone station that
handles the complex voice/data communications with no MC145422 Master UDLT
increase in cabling costs. • 2.048 MHz Master Clock
In implementing a UDLT-based system the AID to D/A • Pin Controlled Power-Down and Loop-Back Features
conversion function associated with each telset is relocated • Variable Data Clock - 64 kHz to 2.56 MHz
from the PBX directly to the telset. The SLiC (or its equivalent • Pin Controlled Insertion/Extraction of 8 kbits/Seconds
circuit) is eliminated since its signaling information is Channel into LSB of 64 kbitslSecond Channel for
transmitted digitally between two UDLTs. Simultaneous Routing of Voice and Data Through PCM
The UDLT master-slave system incorporates the Voice Path of Telephone Switch
modulation/demodulation functions that permit data
communications over a distance up to 2 kilometers. It also MC145426 Slave UDLT
provides the sequence control that govems the exchange of • Compatible with MC145500 Series and Later PCM
information between master and slave. Specifically, the master Mono-Circuits
resides on the PBX line card where it transmits and receives • Automatic Power-Up/Down Feature
data over the wire pair to the telset. The slave is located in the • On-Ghip Data Clock Recovery and Generation
telset and interfaces the mono--circuit to the wire pair. Data • Pin Controlled 500 Hz D3 or CCITT Format PCM Tone
transfer occurs in 1Q-bit bursts (8 bits of data and 2 signaling Generator for Audible Feedback Applications
bits), with the master transmitting first, and the slave responding
in a synchronized half-duplex transmission format.
r ______~U::::DL:.!.J_ _ _.... Signaling Input 1

r------------.- Signaling Input 2

Line
Driver Receive Data Input
Output

1-----_

f-----:
\IaIid.Data.
1-----1-- I.oop.Sack-
1 - - - -...._
Power Down
T/R Data Clock
COnvertCi"ock - -

1----- Tone Enable


I I Enab~ _
+ Master
_Only

Slave
XTAL In Only
XT~~ _ _ _ _ j_
Transmit Enable

Transmit Data

Signal Output 1

Signal Output 2

Analog and Interface Integrated Circuits 4.7-18 Motorola Master Selection Guide
Voice/Data Communication (Digital Transmission) (continued)

2-Wire ISDN Universal Digital Loop Transceiver II (UDLT II)


MC145421 P, ow Master
Similar to the MC145422/26 UDLT, but provide
Case 709,751 E
synchronous full duplex 160 kbps voice and data
communication in a 2B + 2D format for ISDN compatibility on
MC145425P, OW Slave
a single twisted pair up to 1 km. Single 5.0 V power supply,
Case 709, 751 E protocol independent.

Electronic Telephone
The Complete Electronic Telephone Circuit
MC34010P, FN
TA = -20 to +60°C, Case 711,777
0

The conventional transformer-driven telephone handset is • DTMF generator uses low cost ceramic resonator with
undergoing major innovations. The bulky transformer is accurate frequency synthesis technique
disappearing. So are many of its discrete components, • Tone ringer drives piezoelectric transducer and satisfies
including the familiar telephone bell. They are being replaced EIA-470 requirements
with integrated circuits that perform all the major handset • Speech network provides 2-t0-4 wire conversion with
functions simply, reliably and inexpensively ... functions such adjustable sidetone utilizing an electret transmitter
as 2-to-4 wire conversion, DTMF dialing, tone ringing, and a • On-chip regulator insures stable operation over wide
variety of related activities. range of loop lengths
The culmination of these capabilities is the Electronic • 12L technology provides low 1.4 V operation and high
Telephone Circuit, the MC34010. These ICs place all of the static discharge immunity
above mentioned functions on a single monolithic chip. • Microprocessor interface port for automatic dialing features
These telephone circuits utilize advanced bipolar analog
(12L) technology and provide all the necessary elements of a Also Available
modem tone-dialing telephone. The MC34010 even A broad line of additional telephone components for
incorporates an MPU interface circuit for the indusion of customizing systems design.
automatic dialing in the final system .
• Provides all basic telephone functions, including DTMF
dialer, tone ringer, speech network and line voltage
regulator

Hook Switch

//r-~
/ Tip

Ring

MC34010

Electret
Microphone

Motorola Master Selection Guide 4.7-19 Analog and Interface Integrated Circuits
Tone Ringers
The MC34012, MC34017, and MC34117 Tone Ringers are circuit MUST function when a ringing signal is provided, and
designed to replace the bulky bell assembly of a telephone, MUST NOT ring when other signals (speech, dialing, noise)
while providing the same function and performance under a are on the line. The tone ringers described below were
variety of conditions. The operational requirements spelled designed to meet those requirements with a minimum of
out by the FCC and EIA-470, simply stated, are that a ringer external components.

MC34012P, D
TA = -20° to +60°C, Case 626, 751
• Complete Telephone Bell Replacement
• On-Chip Diode Bridge and Transient
Protection
• Single-Ended Output to Piezo Ring >------<:H!::F-<..........
Transducer
• Input Impedance Signature Meets Bell
and EIA Standards
• Rejects Rotary Dial and Hook Switch
Transients
• Adjustable Base Frequencies
• Output Frequency to Warble Ratio -
MC34012-1:80
MC34012-2:160
MC34012-3:40

MC34017P, D
TA = -20° to +60°C, Case 626, 751

• Complete Telephone Bell Replacement


• On-Chip Diode Bridge and Transient
Protection Ring "">-----<
• Differential Output to Piezo Transducer
for Louder Sound Pieza
Sound
• Input Impedance Signature Meets Bell Element
and EIA Standards
• Rejects Rotary Dial and Hook Switch
Transients
• Output Frequency to Warble Ratio -
MC34017-1:80
MC34017-2:160
MC34017-3:40

Analog and Interface Integrated Circuits 4.7-20 Motorola Master Selection Guide
Tone Ringers (continued)

MC34217P, D
TA = -20° to +60°C, Case 626, 751

• Complete Telephone Bell Replacement


• On-Chip Diode Bridge
• Internal Transient Protection
• Differential Output to Piezo Transducer
Ring ...----4"':":i~"....,'"'
for Louder Sound
• Input Impedance Signature Meets Bell
and EIA Standards
• Rejects Rotary Dial and Hook Switch
Transients
• Base Frequency and Warble
Frequencies are Independently
Adjustable
• Adjustable Base Frequency
• Reduced Number of Externals

Speech Networks

Telephone Speech Network with Dialer Interface

MC34114P, DW
TA = -20° to +70°C, Case 707,751 D

• Operation Down to 1.2 V • Regulated 1.7 V Output for Biasing Microphone


• Adjustable Transmit, Receive, and Sidetone Gains by • Regulated 3.3 V Output for Powering External Dialer
External Resistors • Microphone and Receive Amplifiers Muted During Dialing
• Differential Microphone Amplifier Input Minimizes RFI • Differential Receive Amplifier Output Eliminates Coupling
• Transmit, Receive, and Sidetone Equalization on both Capacitor
Voice and DTMF Signals • Operates with Receiver Impedances of 150 Q and Higher

Tip 0-------,

Ring 0--------'

Motorola Master Selection Guide 4.7-21 Analog and Interface Integrated Circuits
Speech Networks (continued)

Cordless Universal Telephone Interface

MC34016DW, P
TA =-20° to +70°C, Case 7510, 738
The MC34016 is a telephone line interface meant for use • Double Wheatstone Bridge Architecture
in cordless telephone base stations for CTO, CT1, CT2 and • Automatic Gain Control Function
DECT. The circuit forms the interface towards the telephone
line and performs ali speech and line interface functions like Transmit Channel
dc and ac line termination, 2-4 wire conversion, automatic • Symmetrical Inputs Capable of Handling Large Voltage
gain control and hookswitch control. Adjustment of Swing
transmission parameters is accomplished by two 8 bit • Gain Select Option via Serial Bus Interface
registers accessible via the integrated serial bus interface and • Transmit Mute Function, Programmable via Bus
by external components. • Large Voltage Swing Capability at the Telephone Line
• DC Masks for Voltage and Current Regulation
• Supports Passive or Active AC Set Impedance Receive Channel
Applications • Double Sidetone Architecture for Optimum Line Matching
• Double Wheatstone Bridge Sidetone Architecture • Symmetrical Outputs Capable of Producing High Voltage
• Symmetrical Inputs and Outputs with Large Signal Swing Swing
Capability • Gain Select Option via Serial Bus Interface
• Gain Setting and Mute Function for Tx and Rx Amplifiers • Receive Mute Function, Programmable via Serial Bus
• Very Low Noise Performance
• Serial Bus Interface SPI Compatible Serial Bus Interface
• Operation from 3.0 V to 5.5 V • 3-Wire Connection to Microcontrolier
• One Programmable Output Meant for Driving a
FEATURES
Hookswitch
Line Driver Architecture • Two Programmable Outputs Capable of Driving Low
• Two DC Masks for Voltage Regulation Ohmic Loads
• Two DC Masks for Current Regulation • Two Eight Bit Registers for Parameter Adjustment
• Passive or Active Set Impedance Adjustment

Rx
Outputs

Tx
Inputs

A(lip)

' - - - - t - - - B (Ring)

Analog and Interface Integrated Circuits 4.7-22 Motorola Master Selection Guide
Speech Networks (continued)

Programmable Telephone Line Interface


Circuit with Loudspeaker Amplifier

MC34216DW
TA =0° to +70°C, Case 751 F
The MC34216 is developed for use in telephone • Earpiece Gain Increase Switch
applications where besides the standard telephone functions • Microphone Squelch Function
also the group listening-in feature is required. In cooperation • Transmit Amplifier Soft Clipping
with a microcontroller, the circuit performs all basic telephone
Dialing and Ringing
functions including DTMF generation and pulse-dialing. The
listening-in part includes a loudspeaker amplifier, an • Generates DTMF, Pilot Tones and Ring Signal
anti-howling circuit and a strong supply. In combination with • Interrupter Driver for Pulse-Dialing
the TCA3385, the ringing is performed via the loudspeaker. • Low Current While Pulse-Dialing
• Optimized for Ringing via Loudspeaker
FEATURES • Programmable Ring Melodies
Line Driver and Supply • Uses Inexpensive 500 kHz Resonator
• DC and AC Termination of the Line Loudspeaking Facility
• Selectable Masks: France, U.K., Low Voltage
• Integrated Loudspeaker Amplifier
• Current Protection
• Peak-to-Peak Limiter Prevents Distortion
• Adjustable Set Impedance for Resistive and Complex
• Programmable Volume
Termination
• Anti-Howling Circuitry for Group Listening-In
• Efficient Supply Point for Loudspeaker Amplifier and
• Interfacing for Handsfree Conversation
Peripherals
Application Areas
Handset Operation
• Corded Telephony with Group Listening-In
• Transmit and Receive Amplifiers
• Cordless Telephony Base Station with Group Listening-In
• Adjustable Sidetone Network
• Telephones with Answering Machines
• Line Length AGC
• Fax, Intercom, Modem
• Microphone and Earpiece Mute

Line +

Handset
Earpiece

Handset
Microphone

Base
Loudspeaker

Line-

Motorola Master Selection Guide 4.7-23 Analog and Interface Integrated Circuits
Speech Networks (continued)

Telephone Line Interface

TCA3388DP, FP
TA = 0° to +70°C, Case 738, 751 D

The TCA3388 is a telephone line interface circuit which • Double Anti-Sidetone Network
performs the basic functions of a telephone set in combination • Line Length AGC
with a microcontroller and a ringer. It includes dc and ac line • Microphone and Earpiece Mute
termination, the hybrid function with 2 adjustable sidetone • Transmit Amplifier Soft Clipping
networks, handset connections and an efficient supply point.
Dialing and Ringing
FEATURES • Interrupter Driver for Pulse-Dialing
Line Driver and Supply • Reduced Current Consumption During Pulse-Dialing
• DC and AC Termination of the Telephone Line • DTMF InterfaCing
• Selectable DC Mask: France, U.K., Low Voltage • Ringing via External Ringer
• Current Protection Application Areas
• Adjustable Set Impedance for Resistive and Complex
• Corded Telephony
Termination
• Cordless Telephony Base Station
• Efficient Supply Point for Peripherals
• Answering Machines
• Hook Status Detection
• Fax
Handset Operation • Intercom
• Transmit and Receive Amplifiers • Modem

Line +

Handset
Earpiece

Handset
Microphone

Line-

Analog and Interface Integrated Circuits 4.7-24 Motorola Master Selection Guide
Speakerphones
Voice Switched Speakerphone Circuit

MC34018P, ow
TA = -20° to +60°C, Case 710, 751F

The MC34018 Speakerphone integrated circuit • All Necessary Level Detection and Attenuation Controls
incorporates the necessary amplifiers, attenuators, and for a Hands-Free Telephone in a Single Integrated
control functions to produce a high quality hands-free Circuit
speakerphone system. Included are a microphone amplifier, • Background Noise Level Monitoring with Long Time
a power audio amplifier for the speaker, transmit and receive Constant
attenuators, a monitoring system for background sound level, • Wide Operating Dynamic Range Through Signal
and an attenuation control system which responds to the Compression
relative transmit and receive levels as well as the background • On-Chip Supply and Reference Voltage Regulation
level. Also included are all necessary regulated voltages for • Typical 100 mW Output Power (into 25 0) with Peak
both internal and external circuitry, allowing line-powered Limiting to Minimize Distortion
operation (no additional power supplies required). A Chip • Chip Select Pin for Active/Standby Operation
Select pin allows the chip to be powered down when not in use. • Linear Volume Control Function
A volume control function may be implemented with an
external potentiometer. MC34018 applications include
speakerphones for household and business uses, intercom
systems, automotive telephones, and others.

Electret
Microphone

Speaker
Telephon~
Line'9

----'INv---
Receive Volume Control

Motorola Master Selection Guide 4.7-25 Analog and Interface Integrated Circuits
Speakerphones (continued)

Voice Switched Speakerphone Circuit


MC34118P, ow
TA = -20 to +60°C, Case 710, 751 F
0

The MC34118 Voice Switched Speakerphone circuit 5.0 mAo The MC34118 can be interfaced directly to Tip and
incorporates the necessary amplifiers, attenuators, level Ring (through a coupling transformer) for stand-alone
detectors, and control algorithm to form the heart of a high operation, or it can be used in conjunction with a handset
quality hands-free speakerphone system. Included are a speech network and/or other features of a featurephone.
microphone amplifier with adjustable gain and mute control, • Improved Attenuator Gain Range: 52 dB Between
Transmit and Receive attenuators which operate in a Transmit and Receive
complementary manner, level detectors at input and output of • Low Voltage Operation for Line-Powered Applications
both attenuators,and background noise monitors for both the (3.0 to 6.5 V)
transmit and receive channels. A dial tone detector prevents • 4-Point Signal Sensing for Improved Sensitivity
the dial tone from being attenuated by the Receive • Background Noise Monitors for Both Transmit and
background noise monitor circuit. Also included are two line Receive Paths
driver amplifiers which can be used to form a hybrid network • Microphone Amplifier Gain Set by External Resistors -
in conjunction with an external coupling transformer. A Mute Function Included
high-pass filter can be used to filter out 60 Hz noise in the • Chip Disable for Active/Standby Operation
receive channel, orfor other filtering functions. A Chip Disable • On Board Filter Pinned-Out for User Defined Function
pin permits powering down the entire circuit to conserve power • Dial Tone Detector Inhibits Receive Idle Mode During Dial
on long loops where loop current is at a minimum. Tone Presence
The MC34118 may be operated from a power supply, or • Compatible with MC34119 Speaker Amplifier
it can be powered from the telephone line, requiring typically

( Ring

Analog and Interface Integrated Circuits 4.7-26 Motorola Master Selection Guide
Speakerphones (continued)

Voice Switched Speakerphone with ~Processor Interface

MC33218AP, ow
TA = -40° to +85°C, Case 724, 751E

The MC33218A, Voice Switched Speakerphone circuit • Low Voltage Operation: 2.5 to 6.0 V
incorporates the necessary amplifiers, attenuators, level • 2-Point Sensing, Background Noise Monitor in Each Path
detectors, and control algorithm to form the heart of a high • Chip Disable Pin for Active/Standby Operation
quality hands-free speakerphone system. Included are a • Microphone Amplifier Gain Set by External Resistors -
microphone amplifier with adjustable gain, and mute control, Mute Function Included
transmit and receive attenuators which operate in a • Dial Tone Detector to Inhibit Receive Idle Mode During
complementary manner, and level detectors and background Dial Tone Presence
noise monitors for both paths. A dial tone detector prevents • Microprocessor port for controlling:
dial tone from being attenuated by the receive background • Receive Volume Level (16 Steps)
noise monitor. A Chip Disable pin permits powering down the • Attenuator Range (26 or 52 dB, Selectable)
entire circuit to conserve power. • Microphone Mute
Also included is an 8-bit serial Ilprocessor port for • Force to Transmit, Receive, Idle or Normal Voice
controlling the receive volume, microphone mute, attenuator Switched Operation
gain, and operation mode (force to transmit, force to receive, • Compatible with MC34119 Speaker Amplifier
etc.). Data rate can be up to 1.0 MHz. The MC33218A can be
operated from a power supply, or from the telephone line,
requiring typically 3.8 mAo It can also be used in intercoms and
other voice-activated applications.

Tx Output

Rx Input

Vcc
Chip Disable

Motorola Master Selection Guide 4.7-27 Analog and Interface Integrated Circuits
Speakerphones (continued)

Voice Switched Speakerphone Circuit

MC33219AP, ADW
TA =-40° to +85°C, Case 724, 751 E
The MC33219A Voice Switched Speakerphone Circuit 4.0 mA. The MC33219A can be interfaced directly to Tip and
incorporates the necessary amplifiers, attenuators, level Ring (through a coupling transformer for stand-alone
detectors, and control algorithm to form the heart of a high operation, or it can be used in conjuction with a handset
quality hands-free speakerphone system. Included are a speech network and/or other features of a featurephone.
microphone amplifier with adjustable gain, and mute control, • Low Voltage Operation: 2.7 to 6.0 V
transmit and receive attenuators which operate in a • 2-Point Sensing, Background Noise Monitor in Each Path
complementary manner, and level detectors and background • Chip Disable Pin for Active/Standby Operation
noise monitors. A dial tone detector prevents dial tone from • Microphone Amplifier Gain Set by External Resistors -
being attenuated by the receive background noise monitor. A Mute Function Included
Chip Disable pin permits powering down the entire circuit to • Dial Tone Detector to Inhibit Receive Idle Mode During
conserve power. Dial Tone Presence
The MC33219A may be operated from a power supply, or • Volume Control Range: 34 dB
it can be powered from the telephone line requiring typically • Compatible with MC34119 Speaker Amplifier

Mute

TxOutput

Speaker Rx Input

VCC
Chip Disable

Wv
Volume
Control

Analog and Interface Integrated Circuits 4.7-28 Motorola Master Selection Guide
Speakerphones (continued)

Table 9. The Motorola Family of Speakerphone Integrated Circuits


MC34018 MC34118 MC33218A MC33219A
Two point sensing with slow idle, Four point sensing with both fast Two point sensing with slow idle, Two point sensing with slow idle,
background noise monitor in T x and slow idle modes, background noise monitors in background noise monitors in
path only background noise monitors in both Rx and Tx paths both Rx and T x paths
both Rx and T x paths
No dial tone detector in receive Receive path has dial tone Receive path has dial tone Receive path has dial tone
path detector detector detector

Attenuator Characteristics: Attenuator Characteristics: Attenuator Characteristics: Attenuator Characteristics:


• Range: 44 dB • Range: 52 dB • Range: 52 or 26 dB • Range: 52 dB
• Tolerance: ±4.0 dB • Tolerance: ±2.0 dB (selectable) • Tolerance: ±3.0 dB
• Gain tracking not specified • Gain Tracking: <1.0 dB • Tolerance: ±3.0 dB • Gain Tracking: <1.0 dB
• White noise is constant • White noise reduces with • Gain Tracking: <1.0 dB • White noise reduces with
volume • White noise reduces with volume
volume

External hybrid required Hybrid amplifiers on board External hybrid required External hybrid required
Speaker amplifier is on board Extemal speaker amplifier External speaker amplifier External speaker amplifier
(34 dB, 100 mW) required (MC34119) required (MC34119) required (MC34119)

Filtering is external Configurable filter on board Filtering is external Filtering is external


Microphone amplifier has fixed Microphone amplifier has Microphone amplifier has Microphone amplifier has
gain and no muting adjustable gain and mute input adjustable gain, and can be adjustable gain and a mute input
muted through IlP port
Supply Voltage: 4.0 V to 11 V Supply Voltage: 2.8 V to 6.5 V Supply Voltage: 2.5 V to 6.5 V Supply Voltage: 2.7 V to 6.5 V
Supply Current: 6.5 mA typ., Supply Current: 5.5 rnA typ., Supply Current: 4.0 mA typ., Supply Current: 3.0 mA typ.,
9.0 mA max 8.0 mA max 5.0 rnA max 5.0 mAmax

Speaker amplifier reduces gain Receive gain is reduced as Receive gain is reduced as Receive gain is reduced as
to prevent clipping supply voltage falls to prevent supply voltage falls to prevent supply voltage falls to prevent
clipping clipping clipping

Volume control is linear. Cannot Volume control is linear, and 8-bit IlP serial port controls: Volume control is linear, and
override voice switched microphone mute has separate • Volume control (16 steps) microphone mute has separate
operation except through pin. Cannot override voice • Microphone mute pin. Attenuator range fixed at
additional circuitry. Attenuator switched operation except • Range selection 52 dB. Cannot override voice
gain is fixed at 44 dB (slightly through additional circuitry. (26 dB or 52 dB) switched operation except
variable). No microphone mute. Attenuator gain is fixed at 52 dB. • Force to transmit, idle, through additional circuitry.
receive, or normal
voice switched operation

28 Pin DIP and SOIC packages 28 Pin DIP and SOIC packages 24 Pin narrow DIP and SOIC 24 Pin narrow DIP and SOIC
packages packages

External Required: Extemal Required: Extemal Required: External Required:


• 12 Resistors • 14 Resistors • 12 Resistors • 12 Resistors
• 11 Capacitors (';;1.0 IlF) • 12 CapaCitors (';;1.0 IlF) • 11 CapaCitors (';;1.0 IlF) • 11 CapaCitors (';;1.0 IlF)
• 8 CapaCitors (>1.0 IlF) • 9 Capacitors (>1.0 IlF) • 4 CapaCitors (> 1.0 IlF) • 4 Capacitors (>1.0 IlF)

Temperature Range: Temperature Range: Temperature Range: Temperature Range:


-20° to +60°C -20° to +60°C -40° to +85°C -40° to +85°C

Motorola Master Selection Guide 4.7-29 Analog and Interface Integrated Circuits
Telephone Accessory Circuits
Audio Amplifier
MC34119P, D
CI
TA = 0° to +70°C, Case 626, 751
Differential Gain = 2 x ~:
A low power audio amplifier circuit intended (primarily) for
Rt
telephone applications, such as speakerphones. Provides 150 k
differential speaker outputs to maximize output swing at low
supply voltages (2.0 V min.). Coupling capacitors to the
speaker, and snubbers, are not required. Overall gain is
externally adjustable from 0 to 46 dB. A Chip Disable pin
permits powering-down to mute the audio signal and reduce
power consumption.

• Drives a Wide Range of Speaker Loads (16 to 1000)


• Output Power Exceeds 250 mW with 32 0 Speaker
• Low Distortion (THD = 0.4% Typical)
• Wide Operating Supply Voltage (2.0 V to 16 V) - Allows
Telephone Line Powered Applications.
Low Quiescent Supply Current (2.5 mA Typical)
• Low Power-Down Quiescent Current (60 !LA Typical)

• Optional

Current Mode Switching Regulator


MC34129P, D
TA = 0° to +70°C, Case 646, 751A r::-,T:: T::-,.""":~.::- T::::- '" - ::-.",,":,'9
I' . . .... . '~gl StarVRun
High performance current mode switching regulator for I Output
low-power digital telephones. Unique internal fault timer eSoft-Start 112"'
provides automatic restart for overload recovery. A start/run Vee
comparator is included to implement bootstrapped operation
Vrel 1.25 V
ofVCC·
Although primarily intended for digital telephone systems,
these devices can be used cost effectively in many other
applications. On-chip functions and features include: Noninverting
Input
Inverting Input
• Current Mode Operation to 300 kHz
'1.;-;""'""",""";:''0 Feedback!
• Automatic Feed Forward Compensation PWM Input
• Latching PWM for Cycle-By-Cycle Current Limiting .N.,...,..;:...;:.::..;,In Drive Out
• Latched-Off or Continuous Retry after Fault Timeout
Drive Gnd
• Soft-Start with Maximum Peak Switch Current Clamp
~i.;:...::~;""'""",""";l¢ Ramp Input
• Internally Trimmed 2% Bandgap Reference
• Input Undervoltage Lockout

Analog and Interface Integrated Circuits 4.7-30 Motorola Master Selection Guide
Telephone Accessory Circuits (continued)

300 Baud FSK Modems The differential line driver is capable of driving 0 dBm into
a 600 n load. The transmit attenuator is programmable in
MC145442P, ow Modem - CCITT V.21 1.0 dB steps.
Case 738, 751 D

MC145443P, OW Modem - Bell 103


ADPCM Transcoder
Case 738, 7510 MC1455320W, L
Case 751G, 620
This powerful modem combines a complete FSK
modulator/demodulator and an accompanying transmit/receive The MC145532 Adaptive Differential Pulse Code
filter system on a single silicon chip. Designed for bidirectional Modulation (ADPCM) Transcoder provides a low cost,
transmission over the telephone network, the modem operates full-duplex, single-channel transcoder to (from) a 64 kbps
at 300 baud and can be obtained for compatibility with CCITT PCM channel from (to) either a 16 kbps, 24 kbps, 32 kbps, or
V.21 and Bell 103 specifications. 64 kbps channel.
The modem contains an on-board carrier-detect circuit
that allows direct operation on a telephone line (through a • Complies with CCITT Recommendation G.721
simple transformer), providing simplex, half-duplex, and (1988)
full-duplex data communications. A built-in power amplifier is • Complies with the American National Standard
capable of driving -9.0 dBm onto a 600 n line in the transmit (T1.301-1987)
mode. • Full-Duplex, Single-Channel Operation
CMOS processing keeps power dissipation to a very low • Mu-Law or A-Law Coding is Pin Selectable
45 mW, with a power-down dissipation of only 1.0 mW ... from • Synchronous or Asynchronous Operation
a single 5.0 V power supply. Available in a 20 pin dual-in-line • Easily Interfaces with any Member of Motorola's PCM
P suffix, and a wide body surface mount DW suffix. Codec-Filter Mono-Circuit Family or Other Industry
Standard Codecs
• Serial PCM and ADPCM Data Transfer Rate from
64 kbps to 5.12 Mbps
• Power Down Capability for Low Cost Consumption
• The Reset State is Automatically Initiated when the
Reset Pin is Released.
• Simple Time Slot Assignment Timing for Transcoder
Applications
Carrier
• Single 5.0 V Power Supply
Detect
Adjust • Evaluation Kit MC145536 EVK Supports the MG145532
as well as the MC145480 PCM Godec-Filter. (See PBX
Architecture Pages for More Information.)
3.579545 MHz

MC145444H, OW - CCITT V.21


Case 804, 751D

MC145446AFW - CCITT V.21


Case 751M

This device includes the DTMF generator and call progress


tone detector (CPTD) as well as the other circuitry needed for
full-duplex, half-duplex, or simplex 300 baud data
communication over a pair of telephone lines. It is intended for
use with telemeter system or remote control system
applications.
Vss- -VDD

Motorola Master Selection Guide 4.7-31 Analog and Interface Integrated Circuits
Telephone Accessory Circuits (continued)

Calling Line Identification (CLIO) Receiver with Ring Detector


MC145447P, ow
Case 648, 751 G

The MC145447 is designed to demodulate Bell 202


1200 baud FSK asynchronous data. Its primary application is Tip
in products that will be used to receive and display the calling Ring
, - - - - . Raw Data
number, or the message waiting indicator sent to subscribers Out
from participating central office facilities of the public switched Cooked
telephone network. The device also contains a carrier detect Data Out
circuit and telephone ring detector which may be used to
power up the device.
Applications include adjunct boxes, answering machines,
feature phones, fax machines, and computer interface
products.

• Ring Detector On-Chip


• Ring Detect Output for MCU Interrupt Clock Select
3.58 MHz, 3.68 MHz,
• Power-Down Mode Less Than 1.0 I-lA OR 455 kHz
• Single Supply: 3.5 V to 6.0 V
• Pin Selectable Clock Frequencies: 3.68 MHz,
3.58 MHz, or 455 kHz
• Two-Stage Power-Up for Power Management Control --0 VSS

Calling Line 10 Receiver Evaluation Kit


MC145460EVK

The MC145460EVK is a low cost evaluation platform for • Easy Clip-On Access to Key MC145447 Signals
the MC145447. The MC145460EVK facilitates development • Generous Prototype Area
and testing of products that support the Bellcore customer • Configurable for MC145447 Automatic or External Power
premises equipment (CPE) data interface, which enables Up Control
services such as Calling Number Delivery (CND). The • EIA-232 and Logic Level Ports for Connection to any PC
MC145447 can be easily incorporated into any telephone, or MCU Development Platform
FAX, PBX, key system, answering machine, CND adjunct box • Carrier Detect, Ring Detect and Data Status LEDs
or other telephone equipment with the help of the • Optional Tip and Ring Input Protection Network
MC145460EVK development kit. • MC145460EVK User Guide, MC145447 Data Sheet, and
Additional MC145447 Sample Included

EIA-232 Level
Output
CD, RD, Data

Logic Level
Output
CD, RD, Data

Analog and Interface Integrated Circuits 4.7-32 Motorola Master Selection Guide
Telephone Accessory Circuits (continued)

Continuously Variable Slope Delta (CVSD) Modulator/Demodulator


MC34115P, ow
=
TA 0° to +70°C, Case 648, 751G
MC3418P, OW
=
TA 0° to +70°C, Case 648, 751G
Provides the AlD-D/A function of voice communications by • CMOS Compatible Digital Output
digital transmission. Designed for speech synthesis and • Digital Input Threshold Selectable (VCC/2 reference
commercial telephone applications. A single IC provides both provided on Chip)
encoding and decoding. • MC34115 Has a 3--Bit Algorithm (General
• Encode and Decode Functions on the Same Chip with a Communications)
Digital Input • MC3418 Has a 4-Bit Algorithm (Commercial Telephone)

Encolil!
Decode Clock

15 14

Analog Input
Analog Feedback
Digital Data Input

Digital Threshold

Coincidence Output

Digital Output

Syllablic Filter
Gain Control
VCcJ2 Reference

Analog Reference Filter


Output Input Input
(+) (-)

Motorola Master Selection Guide 4.7-33 Analog and Interface Integrated Circuits
Telephone Accessory Circuits (continued)

Table 10. Summary of Bipolar Telecommunication Circuits


Suffix!
I Function I Features Package Device

Subscriber Loop Interface Circuits (SUes)


PBX Applications All gains externally programmable, most BORSHT functions, U726 MC3419-1
current limit adjustable to 100 mA.

Central Office, Remote Terminals, All gains externally programmable, most BORSHT functions, pn38, MC33121
PBX Applications current limit adjustable to 50 mA, 58 dB Longitudinal Balance, FNI776
-21.6 V to -42 V.
Central Office, Remote Terminals, All gains externally programmable, most BORSHT functions, pn38, MC33120
PBX Applications current limit adjustable to 50 mA, 58 dB Longitudinal Balance, FNm6
-42 V to -58 V.

Complete Telephone Circuit


POTS Circuit + MPU Dialing Speech network, tone ringer, dc loop current interface, DTMF
dialer with serial port control.

Tone Ringers
Adjustable Tone Ringer Single-ended output, meets FCC requirements, adjustable REN, P/626, MC34012-1,
different warble rates. Dn51 2,3

Adjustable Tone Ringer Differential output, meets FCC requirements, adjustable REN, P/626, MC34017-1,
different warble rates. Dn51 2,3

Adjustable Tone Ringer Differential output, meets FCC requirements, adjustable REN, P/626, MC34217
single warble rates. D/751

Speech Networks
Basic Phone Line Interface Loop current interface, speech network, line length pn07, MC34014
compensation, speech/dialing modes, Bell System compliant. DWn51D
Cordless Universal Telephone Designed for digital cordless phones, SPI interface, double pn38, MC34016
Interface sidetone network, low noise and distortion. DWn51D

Basic Phone Line Interface Loop current interface, speech network, line length compensation, pn07, MC34114
speech/dialing modes, Bell System and foreign countries. DWn51D

Programmable Telephone Line Group listening-in, DTMF and tones generator, ring generator, DWn51F MC34216
Interface Circuit with Loudspeaker country programmable, SPI interface.
Amplifier

Telephone Line Interface Country programmable, double sidetone network, provides strong DPn38, TCA3388
supply point. FPn51D

Speakerphone Circuits
Complete Speaker Phone with All level detection (2 pt.), attenuators, and switching controls, pm 0, MC34018
Speaker Amplifier mike and speaker amp. DWn51F

Complete Speaker Phone with All level detection (4 pt.), attenuators, and switching controls, P/71 0, MC34118
Hybrid, Filter mike amp with mute, hybrid, and filter. DWn51F

Complete Speaker Phone with All level detection, attenuators, and switching controls, mike amp, pn24, MC33218A
MPU Interface MPU interface for: volume control, mode selection, mike mute. DWn51E

Basic Low Cost Speakerphone All level detection, attenuators and switching controls, Mike pn24, MC33219A
amplifier with Mute, low voltage operation. DWn51E

Audio Amplifiers
1 Watt Audio Amp 1.0 W output power into 16 n, 35 V maximum. Dn51 MC13060
Low Voltage Audio Amp 400 mW, 8.0 to 100 n, 2.0 to 16 V, differential outputs, P/626, MC34119
chip-<iisable input pin. Dn51

Analog and Interface Integrated Circuits 4.7-34 Motorola Master Selection Guide
Telephone Accessory Circuits (continued)

Summary of Bipolar Telecommunications Circuits (continued)

Function Features
Companders
Basic Compander 2.1 V to 7.0 V, no precision extemals, 80 dB range, -40" to P/646, MC33110
+85"C, independent compressor and expander. D1751 A
Compander with Features 3.0 V to 7.0 V, no precision externals, 80 dB range, -40" to P/648, MC33111
+85"C, independent compressor and expander, pass through and D1751B
mute functions, two op amps.

Switching Regulator
Current Mode Regulator For phone line power applications, soft-start, current limiting,
2% accuracy.

Voice Encoder/Decoders
Continuously Variable Slope Telephone quality voice encoding/decoding, variable clock rate, P1738, MC34115
Modulator/Demodulator (CVSD) 3-bit coding, for secure communications, voice storagelretrieval, DW1751G
answering machines, 0" to 70"C.
Same as above except 4-bit coding. P1738, MC3418
DW751G

Figure 27. The Motorola Family of Handset Telecom Integrated Circuits

MC34018 MC34010 MC34014 MC34114

Speakerphone
Speech Speech Speech
w/Speaker Amp

[)-- -
Network Network Network

MC34118
DC DC DC
Interface Interface Interface
Speakerphone
w/Hybrid Amps

Microprocessor DTMF
MC33218A Interface - Generator
Dialer
Interface
Dialer
Interface

Speakerphone
w/MPU Interface
Tone MC34012 MC34017
Ringer

.~'''~
V MC33219A
Tone Ringer Tone Ringer
Basic Low Cost
Speakerphone MC33110
(Single-Ended
Output) ----- (Push-Pull
Output)

V MC34119 Low Voltage


Compander
Low Voltage
Compander MC34217

(Basic (wIMute&
400mW

-
Passthrough,

'"
Speaker Compander) Tone Ringer
OpAmps)
Amplifier (Push-Pull
Output)

Motorola Master Selection Guide 4.7-35 Analog and Interface Integrated Circuits
Phase-Locked Loop Components
Motorola offers a choice of phase-locked loop components power consumption and bipolar for high speed operation.
ranging from complete functional frequency synthesizers for Typical applications include TV, CATV, radios, scanners,
dedicated applications to a wide selection of general purpose cordless telephones plus home and personal computers.
PLL circuit elements. Technologies include CMOS for lowest

Table 11. PLL Frequency Synthesizers


Nominal
Supply Supply
Frequency Voltage Current Suffix!
(MHz) (V) (mA) Phase Detector Standby Interface Device Case
4.0 @5.0V 4.51012 6.0 @5.0V Single--ended 3-state No Parallel MC145106 Pfl07,
DWfl51D
15@5.0V 3.0109.0 - Two single--ended 3-state Serial MC145149* Pfl38,
DWfl51D
7.5 @5.0V Analog MC145159-1 Pfl38,
DWfl51D
20 @5.0V 3.0109.0 7.5 @5.0V Single-ended 3-stale, 4-Bil MCl45145-2 Pfl07,
double--ended DWfl51D
MC145146-2 Pfl38,
DWfl51D
Parallel MC145151-2 Pf710,
DWfl51F
Double--ended MC145152-2 Pf710,
DWfl51F
Single--ended 3-slate, Serial MC145155-2 Pfl07,
double--ended DWfl51D
MC145156-2 Pfl07,
DWfl51D
MC145157-2 P/648,
DWfl51G
MC145158-2 P/648,
DWfl51G
60@ 3.0V 2.5 to 5.5 3.0 @3.0V Two single-ended 3-state Yes MC145162* P/648,
DWfl51G
60 @ 2.0V 1.8t03.6 1.5@1.8V MC145165* P/648,
Dfl51B
60 @3.0V 2.5t05.5 3.0@3.0V Parallel MC145166* P/648,
DWfl51G
Serial MC145167* P/648,
DWfl51G
Parallel MC145168*
Serial MC145169*
85@ 3.0V 2.5 to 5.5 3.0@ 3.0 V MC145162-1* P/648,
DWfl51G
40/130 @ 4.5105.5 9.0@ 5.0V Single-ended 3-state, MC145173 DWfl51E
5.0V Current source/sink
100@3.0V 2.5 to 5.5 2.0 @ 3.0 V No MC145170-1 P/648,
185@5.0V 6.0@ 5.0V Dfl51B
* Dual PLL

Analog and Interface Integrated Circuits 4.7-36 Motorola Master Selection Guide
Phase-Locked Loop Components (continued)

PLL Frequency Synthesizers (continued)


Nominal
Supply Supply
Frequency Voltage Current Suffix!
(MHz) (V) (rnA) Phase Detector Standby Interface Device Case
1100 @ 4.5 to 5.5 7.0 @ 5.OV Current source/sink, Yes Serial MC145190 Fn51J,
5.OV double-ended DT/948D
MC145191 Fn51J,
DT/948D
1100 @ 2.7105.0 6.0@2.7V MC145192 Fn51J,
3.0V DTl948D
1100 @ 2.7 to 5.5 12 Two current source/sink, MC145220' F/803C,
3.0V double-ended DT/948D
2000@ 4.5 to 5.5 12 @5.OV Current source/sink, MC145200 Fn51J,
5.OV double-ended DT/948D
2000@ 4.5 to 5.5 12 @ 5.OV MC145201 Fn51J,
5.0 V DT/948D
2000@ 2.7 to 5.5 4.0@3.0V MC145202 Fn51J,
3.0V DT/948D
'Dual PLL

Table 12, Phase-Locked Loop Functions


Device Function Pins DIP SM
MC4016 Programmable Modulo-N Counters (N=0-9) 16 P,L
MC4018 Programmable Modulo-N Counters (N=o-9) 16 P,L
MC4024 Dual Voltage-Controlled Multivibrator 14 P,L
MC4044 Phase-Frequency Detector 14 P,L D
MC4316 Programmable Modulo-N Counters (N=o-9) 16 P,L
MC4324 Dual Voltage-Controlled Multivibrator 14 P,L
MC4344 Phase-Frequency Detector 14 P,L
MC12002 Analog Mixer 14 P,L
MC12009 480 MHz +5/6 Dual Modulus Prescaler 16 P,L
MC12011 550 MHz +8/9 Dual Modulus Prescaler 16 P,L
MC12013 550 MHz +10/11 Dual Modulus Prescaler 16 P,L
MC12014 Counter Control Logic 16 P,L
MC12015 225 MHz +32133 Dual Modulus Prescaler 8 P,L D
MC12016 225 MHz +40/41 Dual Modulus Prescaler 8 P,L D
MC12017 225 MHz +64/65 Dual Modulus Prescaler 8 P,L D
MC12018 520 MHz +128/129 Dual Modulus Prescaler 8 P,L 0
MC12019 225 MHz +20/21 Oual Modulus Prescaler 8 P,L 0
MC12022A 1.1 GHz +64/65, +128/129 Oual Modulus Prescaler 8 P 0
MC12022B 1.1 GHz +64/65, + 1281129 Oual Modulus Prescaler 8 P 0

Motorola Master Selection Guide 4.7-37 Analog and Interiace Integrated Circuits
Phase-Locked Loop Components (continued)

Phase-Locked Loop Functions (continued)


Device Function Pins DIP SM
MC12022LVA 1.1 GHz +64165, +128/129 Low Voltage Dual Modulus Prescaler 8 P D
MC12022LVB .1.1 GHz +64/65, +128/129 Low Voltage Dual Modulus Presc.aler 8 P D
MC12022SLA 1.1 GHz +64/65, + 128/129 Dual Modulus Prescaler 8 P D
MC12022SLB 1.1 GHz +64/65, + 128/129 Dual Modulus Prescaler 8 P D
MC12022TSA 1.1 GHz +64165, +1281129 Dual Modulus Prescaler 8 P D
MC12022TSB 1.1 GHz +64/65, + 128/129 Dual Modulus Prescaler 8 P D
MC12022TVA 1.1 GHz +64/65, + 128/129 Low Voltage Dual Modulus Prescaler 8 P D
MC12022TVB 1.1 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler 8 P D
MC12023 225 MHz +64 Prescaler 8 P D
MC12025 520 MHz +64/65 Dual Modulus Prescaler 8 P D
MC12026A 1.1 GHz +8/9, + 16/17 Dual Modulus Prescaler 8 P D
MC12026B 1.1 GHz+8/9, +16/17 Dual Modulus Prescaler 8 P D
MC12028A 1.1 GHz +32133, +64/65 Dual Modulus Prescaler 8 P D
MC12028B 1.1 GHz +32/33, +84165 Dual Modulus .Prescaler 8 P D
MC12031A 2.0 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler 8 P D
MC12031B 2.0 GHz +64/65, +128/129 Low Voltage Dual Modulus Prescaler 8 P D
MC12032A 2.0 GHz +64/65, +1281129 Dual Modulus Prescaler 8 P D
MC12032B 2.0 GHz +64165, +128/129 Dual Modulus Prescaler 8 P D
MC12033A 2.0 GHz +32133, +64/65 Low Voltage Dual Modulus Prescaler 8 P D
MC12033B 2.0 GHz +32133, +64165 Low Voltage Dual Modulus Prescaler 8 P D
MC12034A 2.0 GHz +32/33, +64/65 Dual Modulus Prescaler 8 P D
MC12034B 2.0 GHz +32133, +64/65 Dual Modulus Prescaler 8 P D
MC12036A 1.1 GHz +64/65, +1281129 Dual Modulus Prescaler with Stand-By Mode 8 P D
MC12036B 1.1 GHz +64/65, + 128/129 Dual Modulus Prescaler with Stand-By Mode 8 P D
MC12040 Phase-Frequency Detector 14 P,L FN
MC12061 Crystal Oscillator 16 P,L
MC12073 1.1 GHz +64 Prescaler 8 P D
MC12074 1.1 GHz +256 Prescaler 8 P D
MC12076 1.3 GHz +256 Prescaler 8 P D
MC12078 1.3 GHz +256 Prescaler 8 P D
MC12079 2.8 GHz +641128/256 Prescaler 8 P D
MC12080 1.1 GHz +10/20/40/80 Prescaler 8 P D
MC12083 1.1 GHz +2 Low Power Prescaler with Stand-By Mode 8 P D
MC12089 2.8 GHz +64/128/256 Low Power Prescaler 8 P D
MC12090 750 MHz +2 UHF Prescaler 16 P,L
MC12100 200 MHz Voltage Controlled Multivibrator 20 P FN
MC12101 130 MHz Voltage Controlled Multivibrator 20 P FN
MCH12140 Phase-Frequency Detector 8 D
MCK12140 Phase-Frequency Detector 8 D
MC12148 Low Power Voltage Controlled Oscillator 8 D,SD

Analog and Interface Integrated Circuits 4.7-38 Motorola Master Selection Guide
Communications Circuits Package Overview

- CASE 620
LSUFFIX
CASE 626
PSUFFIX
• CASE 646
PSUFFIX
CASE 648
PSUFFIX

CASE 707 CASE 708 CASE 709


PSUFFIX PSUFFIX PSUFFIX

CASE 710
PSUFFIX
CASE 711
PSUFFIX
- CASE 724
PSUFFIX

CASE 726
LSUFFIX
CASE 736B
PBSUFFIX
-CASE 738
OP, PSUFFIX

CASE 751
o SUFFIX

#
CASE 751A
o SUFFIX
,
CASE 751B
o SUFFIX
~
CASE 7510
OW, FP SUFFIX
#
CASE 751E
OW SUFFIX

Motorola Master Selection Guide 4.7-39 Analog and Interface Integrated Circuits
Communications Circuits Package Overview (continued)

,CASE 751F •
CASE 751G
#
CASE 751J
OW SUFFIX OW SUFFIX FSUFFIX

# CASE 751M
FWSUFFIX

CASE 776
FNSUFFIX
CASE 777
FN SUFFIX

CASE 779 CASE803C CASE 804


FNSUFFIX FSUFFIX HSUFFIX


CASE 837A
OW SUFFIX
CASE 8420
PBSUFFIX
•CASE 848B
FBSUFFIX

CASE 873
FB, FTB, FU SUFFIX

•CASE 932
FTASUFFIX
CASE940C
SO SUFFIX

CASE 9480
OTSUFFIX

CASE 977
FTASUFFIX

Analog and Interface Integrated Circuits 4.7-40 Motorola Master Selection Guide
Consumer Electronic Circuits

In Brief . ..
These integrated circuits reflect Motorola's continuing Page
commitment to semiconductor products necessary for Entertainment Radio Receiver Circuits ............ 4.8-2
consumer system designs. This tabulation is arranged to Entertainment Receiver RF/IF ................. 4.8-2
simplify selection of consumer integrated circuit devices that C-Quam® AM Stereo Decoders .... . . . . . . . . . .. 4.8-2
satisfy the primary functions for home entertainment Audio Amplifiers ............................. 4.8-2
products, including television, hi-fi audio and AM/FM radio. Video Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Encoders ................................... 4.8-3
TV Decoder. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
Video Capture Chip Sets. . . . . . . . . . . . . . . . . . . . .. 4.8-3
TV Picture-in-Picture ........................ 4.8-3
Comb Filters ................................ 4.8-3
Deflection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-3
TV IF Circuits ............................... 4.8-3
Tuner PLL Circuits ........................... 4.8-4
Modulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Video Data Converters ....................... 4.8-4
Monitor Subsystem .......................... 4.8-4
Sound . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Miscellaneous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.8-4
Circuit Descriptions and Diagrams ............. 4.8-5
Package Overview ............................ 4.8-25

Motorola Master Selection Guide 4.8-1 Analog and Interface Integrated Circuits
Entertainment Radio Receiver Circuits
Table 1. Entertainment Receiver RFnF
Suffix!
Function Featurea Package Device
E.T.R. Front End MixerNCO/AGC for Electronically Tuned AM Stereo Receivers P/648, MC13025
D1751B

AMAX Front End MixerNCO/AGC with RF and Audio Noise Blanking DWI751D MC13027

Dual Conversion AM Receiver 1st Mixer/OSC, 2nd Mixer/OSC, High Gain IF, AGC, Detector DW1751F MC13030

Table 2. C-Quam® AM Stereo Decoders


Suffix!
Function Features Package Device
Basic AM Stereo Decoder MonauraVStereo AM Detectorllndicator, 6.0 to 10 V Operation P1738 MC13020
Advanced AM Stereo Decoder Medium Voltage 6.0 to 10 V, Decoder and IF Amp P1710, MC13022A
DW1751F
Low V AM Stereo Receiver IFlDecoder for Advanced C--Quam Receivers P/648, MC13028A
D1751B

Medium V AM Stereo Decoder IF/Decoder for Advanced C--Quam Receivers with AMlFM Switch DWI751D, MC13029A
H1738
AM/FM Stereo Decoder AM Stereo Tuner IC with FM Stereo Decoder DWI751D MC13035
AM/FM Stereo Decoder AM and FM Stereo Decoder, 4.0 to 12 V Operation P/648 MC13037
AMAX Stereo Decoder Am Stereo Decoder with Audio NOise Blanker DW1751F MC13122

Table 3. Audio Amplifiers


Vln
Po vee @RatedPo ID RL Suffix!
Function (Watts) VdcMax mVTyp mATyp (Ohms) Package Device
Mini Watt SOIC Audio Amp 1.0W 35 80 11 16 D1751 MC13060
Low Power Audio Amp SOOmW 16 - 2.5mA 8-00 D1751 , MC34119
P/626

Analog and Interface Integrated Circuits 4.8-2 Motorola Master Selection Guide
Video Circuits
Table 4. Video Circuits
Suffix!
Function Features Package Device

Encoders
RGB to PAUNTSC Encoder RGB and Sync inputs, Composite Video out; PAUNTSC selectable. P1738, MC1377
DW1751D
Video Overlay Synchronizer Complete Color TV Video Overlay Synchronizer, remote or local system P/711, MC1378
control and RGB encoder. FN/777
Advanced RGB to PAUNTSC RGB and Sync inputs, Composite Video and S-VHS out; P1738, MC13077
Encoder PAUNTSC selectable; subcarrier from crystal or external source. DW1751D

TV Decoder
Chroma 4 Multistandard Decoder PAUNTSC/8-VHS input, RGB outputs; horizontal and vertical timing
(TV set) outputs; all digital internal filters, no external tank; IlP and crystal
controlled.
Video Capture Chip Sets
Chroma 4 Multistandard Video PAUNTSC/8-VHS input, RGBNUV outputs; horizontal and vertical FN1777, MC44011
Processor (Multimedia) timing outputs; all digital internal filters, no external tanks; IlP and FB/824E
crystal controlled.
PAL Digital Delay Line For PAL applications of the MC44011 and MC44001. P/648, MC44140
DW1751G
Pixel Clock PLUSync Sep. PAUNTSC sync separator, 6.0-40 MHz pixel clock PLL. D1751 A MC44145
Triple 8-Bit Video DAC TTL inputs, 75 Q drive outputs. FB/824A MC44200
Triple 8-Bit Video AID Video clamps for RGBNUV, 18 MHz, High Z TTL outputs. FN1777 MC44251
TV Picture-in-Picture
Picture-in-Picture (PIP) Controller Complete PIP function on one chip: two NTSC composite inputs
(reversible); encoder, decoder, logic, memory, video amplifier. Uses 12C
bus control to select 1/16 or 1/9 PIP size, contrast and color parameters.
Comb Filters
Enhanced Comb Filter Fast 8-Bit AID Converter, Two 8-Bit D/A Converters, Two Line-Delay FU/898 MC141620
Memories, utilizes NTSC Subcarrier Frequency clock, CMOS
Technology.
Advanced Comb Filter (ACF) Composite Video input; VC outputs in digital and analog form; all digital FU/898 MC141621A
internal filters.
Advanced Comb Filter - II (ACF-II) Composite Video input; VC outputs in digital and analog form; all digital P/898 MC141622
internal filters; vertical enhancer circuit.
Advanced Comb Filter - I (ACF-I) Low cost Ih filter. FU/873 MC141624
SPITBD
Advanced PAUNTSC Comb Filter Composite Video input; VC outputs in digital and analog form; all digital FB/898 MC141627
internal filters.

Deflection
Horizontal Processor Linear balanced phase detector, oscillator and predriver, adjustable
DC loop gain and duty cycle.

TV IF Circuits
Advanced Video IF Complete video IF system for high performance analog TV receivers. P/724, MC44301
DW1751F

Advanced Multi-Standard TV Complete video/sound IF system for all standard modulation techniques P/710, MC44302
Video/Sound IF including NTSC, PAL, SECAM and AM D2MAC. DW1751F
IF Amplifier 1st and 2nd video IF amplifiers, 50 dB gain at 45 MHz, 60 dB AGC D1751 , MC1350
range. P/626

Motorola Master Selection Guide 4.8-3 Analog and Interface Integrated Circuits
Table 4. Video Circuits (continued)

I Function I Features
Suffix!
Package Device
Tuner PLL Circuits
PLL Tuning Circuits 1.3 GHz, 10 mV sensitivity selectable prescaler (MC44817), op amp, D1751B MC44817, B
4 band buffers, 3-wire bus interface, lock detect.
1.3 GHz, 10 mV sensitivity prescaler, op amp, 4 band buffers, 12C D1751B MC44818
interface, lock detect.
1.3 GHz, 10 mV sensitivity prescaler, 3 band buffers, 12C interface, D1751 , MC44824,
replacement for Siemens MPG3002. D1751B MC44825
Similar to MC44817, with lower power consumption, push-pull lock DTB/948F MC44827
detector output, no divide-by--8 bypass, in a TSSOP package.
Similar to MC44818, with lower power consumption, push-pull lock DTB/948F MC44828
detector output, in a TSSOP package.
1.3 GHz prescaler, 10 mV sensitivity 50 to 950 MHz, op amp, 3 band D/751 A MC44829
buffers, Mixer/Osc Decoder and 12C Bus.
1.3 GHz, 10 mV sensitivity selectable prescaler, op amp, 4 band buffers, DWI751D MC44864
12C interface, 3 DACs for automatic tuner alignment.

Modulator
Color TV Modulator with Sound RF oscillator/modulator, and FM sound oscillator/modulator.

Video Data Converters


Single Channel AID 8-Bit, 25 MHz, 2.0 V input range, ±5.0 V supplies, TTL output, no P1709, MC10319
pipeline delay. DW1751E
Triple B-Bit Video AID Video clamps for RGBIYUV, 18 MHz conversion, high Z outputs. FNI777 MC44251
Triple B-Bit Video DAC TTL inputs, 75 n drive outputs. FB/824 MC44200
Monitor Subsystem
Multimode Color Monitor Processor Triple video amplifiers, horizontal PLLs and deflection timing, vertical
ramp generator.
Sound
Sound IF Detector Interchangeable with ULN2111 A.

Miscellaneous
Subcarrier Reference Generator Provides continuous subcarrier sine wave and 4x subcarrier, locked to P/626, MC44144
incoming burst. D1751
Closed Caption Decoder Conforms to FCC, NTSC standards, underline and italics control. P1707 MC144143
Enhanced Closed Caption Decoder Conforms to FCC, NTSC, XDS standards, underline, italics and OSC. P1707 MC144144
Sync Separator/Pixel Clock PLL PAUNTSC sync separator with vertical and composite sync output, D1751 MC44145
6 to 40 MHz pixel clock PLL.
Dual Video Amplifiers Gain @ 4.43 MHz = 6.0 dB ±1.0 dB, fixed gain, internally compensated, P/626, MC14576C
CMOS Technology. F/904
Gain @ 5.0 MHz = 10 dB max, 10 MHz = 6.0 dB max, adjustable gain, P/626, MC14577C
internally compensated, CMOS Technology. F/904
Transistor Array One differential pair and 3 isolated transistors, 15 V, 50 mAo P/646, MC3346
D1751 A
General Purpose Transistor Array One differential pair and 3 isolated transistors, 130 V, 50 mA. D1751 A CA3146

Analog and Interface Integrated Circuits 4.8-4 Motorola Master Selection Guide
Video Circuits (continued)

Video Capture Block Diagram

r------,

G I
I
I
I
I
I
\ IL _ _ _ _ _ .JI
r-----,
I
I
I
I
/ I
I Sateflije I I

r-----,
I I
I I
I I
I/O's 12C I I
~----~

* In Development

Motorola Master Selection Guide 4.8-5 Analog and Interface Integrated Circuits
Video Circuits (continued)

Digitally Controlled Video Processor for Multimedia Applications


MC44011FN, FB
Case 777, 824E

The MC44011, a member of the MC44000 Chroma 4 • Multistandard Decoder, Accepts NTSC and PAL
family, is designed to provide RGB or VUV outputs from a Composite Video
variety of inputs. The inputs may be either PAL or NTSC • Dual Composite Video or S-VHS Inputs
composite video (two inputs), S-VHS, RGB, and color • All Chroma and Luma Channel Filtering, and Luma Delay
difference (R-V, B-V). Line are Integrated Using Sampled Data FiRers Requiring
The MC44011 provides a sampling clock output for use no External components
by a subsequent analog to digital converter. The sampling • Digitally Controlled via 12C Bus
clock (6.0 to 40 MHz) is phase-locked to the horizontal • Auxiliary V, R-V, B-V Inputs
frequency. Additional outputs include composite sync, • Switched RGB Inputs with Separate Saturation Control
vertical sync, field identification, luminance, burst gate, and • Line-Locked Sampling Clock for Digitizing Video Signals
horizontal frequency. • Burst Gate Pulse Output for External Clamping
Control of the MC44011, and reading of status flags is • Vertical Sync and Field Ident Outputs
accomplished via an 12C bus. • Software Selectable VUV or RGB Outputs Able to Drive
AID Converters

~
VCC1 Gnd1 Y1 R-Y B-Y
r------f~----
CompVideo 1

Comp Video 2
Sound TrapiLuma Filter/Luma Delayl
Chroma Filter/Pai & NTSC Decoderl
~} Outputs
BtU
Hue & Saturation Control

.....----OVCC3
L,_~=:;"--_~II-*L,~~~-,.j-----<?Gnd3
Fitter L _ _ _ _ _

Burst
Gate t--'='-+ To AID Converters
...n..

Analog and Interface Integrated Circuits 4.1Hl Motorola Master Selection Guide
Video Circuits (continued)

Triple 8-Bit CIA Converter


MC44200FB
Case 824A

The MC44200 is a monolithic digital to analog converter for • 55 msps Conversion Speed
three independent channels fabricated in CMOS technology. • Large Output Voltage Range
The part is specifically designed for video applications. • Low Current Mode
Differential outputs are provided, allowing for a large output • Single 5.0 V Power Supply
voltage range. • TTL Compatible Inputs
• B-Bit Resolution • Integrated Reference Voltage
• Differential Outputs

r-------------,
r::-"""7I~-O VOOG
Gin ~-+----~ OG
"'--,.........- - v OG

'---"""7I--l""I VOOR
RIn ~-+--~~ OR
"'--,.........~-v OR

VOOB
r::-"""7I'---l""I
Bin ~-+--~~ OB
OB
"'--,.........f---V
+--~O-:-:-::---lf---o
Clk 0 - 2 - -......~ CCAS
VOO Q....I.
VSS~ R I,el
I-C)-----II---I
VODR o---------~ I CV,ef
VSSR 0 - - - - - - - - - - - '
I.. _ _ _ _ _ _ _ _ _ _ _ _ .J I

Motorola Master Selection Guide 4.8-7 Analog and Interface Integrated Circuits
Video Circuits (continued)

Triple 8-Bit AID Converter


MC44251FN
Case 777

The MC44251 contains three independent parallel analog These AIDs are especially suitable as front end converters
to digital converters. Each ADC consists of 256 latching in TV picture processing.
comparators and an encoder. Input clamps allow for AC • 18 MHz Maximum Conversion Speed (MC44251)
coupling of the input signals, and dc coupling is also allowed. • Input Clamps Suitable for RGB and YUV Applications
For video processing performance enhancements, a dither • Built-in Dither Generator with Subsequent
generator with subsequent digital correction is provided to Digital Correction
each ADC. The outputs of the MC44251 can be set to a high • Single 5.0 V Power Supply
impedance state.

Simplified Diagram of One of the ADCs

Vref

Rtop

Encoder

•••
Rmid

8
Data
Outputs

Clock

Analog Input

HZ
VTN D~hering
Generator
Mode

Analog and Interface Integrated Circuits 4.8-8 Motorola Master Selection Guide
Video Circuits (continued)

Color TV Block Diagram

r------,
I I
I I
I I
IL I
_ _ _ _ _ ..l

+200 V
12C
+12V MCU
+5.0 V liD's

• In Development

Motorola Master Selection Guide 4.8-9 Analog and Interface Integrated Circuits
Video Circuits (continued)

Advanced Multistandard TV Video/Sound IF


MC44302P, ow
Case 710, 751F
The MC44302 is a multistandard single channel TV and programmable control logic that allows operation in
Video/Sound IF and PLL detector system specifically NTSC, PAL SECAM and AM D2MAC systems. This device is
designed for use with all standard modulation techniques available in wide body 28 pin dual-in-line and surface mount
including NTSC, PAL, SECAM, and AM D2MAC. This device plastic packages.
enables the designer to produce a high quality IF system with • Multi-Standard Detector System for NTSC, PAL,
a minimum number of external components. SECAM, and AM D2MAC
The MC44302 contains a high gain video IF with an AGC • High Gain Video IF Amplifier with 80 dB AGC Range
range of 80 dB, enhanced phase locked loop carrier • Enhanced PLL Carrier Regenerator for Low Static
regenerator for low static phase error, doubly balanced full Phase Error
wave synchronous video demodulator featuring wide • Synchronous Video Demodulator with Positive and
bandwidth positive and negative video outputs with extremely Negative Video Outputs
low differential gain and phase distortion, video AFT amplifier, • Sound IF with Self Tuning FM Quadrature Detector
multistage sound IF limiter with FM quadrature detector and • AM Sound Detector
AFT for self tuning, AM sound detector, constant and variable • DC Volume Control
audio outputs, dc volume control for reduced hum and noise • Unique Signal Acquisition Circuit Prevents False PLL
pickup, unique signal acquisition circuit that prevents false Lockup
PLL lockup and AFT push out, sound mute, horizontal gating • Horizontal Gating System for Self Contained RF/IF AGC
system with sync separator and phase locked loop circuitry for Operation
self-contained RF/IF AGC operation, RF AGC delay circuitry, • RF AGC Delay Circuitry
vcc

Volume
Conlroi

FIOIIl
External
Au~o
Source

Horizontal PLL Fitter! V~eo


PM D2MAC Select Mode Switch
Video 1 Video 2

Analog and Interface Integrated Circuits 4.8-10 Motorola Master Selection Guide
Video Circuits (continued)

Picture-in-Picture (PIP) Controller


MC44460B
Case 859
The MC44460 Picture-in-Picture (PIP) controller is a low • Two PIP Sizes; 1/16 and 1/9 Screen Area
cost member of a family of high performance PIP controllers • Freeze Field Feature
and video signal processors for television. It is NTSC • Variable PIP Position in 64-X by 64-Y Steps
compatible and contains all the analog signal processing, • PIP Border with Programmable Color
control logic and memory necessary to provide for the overlay • Programmable PIP Tint and Saturation Control
of a small picture from a second non synchronized source onto • Automatic Main to PIP Contrast Balance
the main picture of a television. All control and setup of the • Vertical Filter
MC44460 is via a standard two pin 12C bus interface. The • Integrated 64 k Bit DRAM Memory Resulting in Minimal
device is fabricated using BICMOS technology. It is available RFI
in a 56-pin shrink dip (SDIP) package. • Minimal RFI Allows Simple Low Cost Application into TV
The main features of the MC44460 are: • 12C Bus Control - No External Variable Adjustments
• Two NTSC CVBS Inputs Needed
• Switchable Main and PIP Video Signals • Operates from a Single 5.0 V Supply
• Single NTSC CVBS Output Allows Simple TV Chassis • Economical 56-Pin Shrink DIP Package
Integration

De~aps
Fitler Pll ADCMid-Ref
~ 51
40 41
---------,
129
r.:---:-~--_+="<> Sync In
Video 1 O=L-~ f---~{) H Pll
L......._.....J------'-=O 503 kHz Res
Video 2 o"'T----;~~~

Decoder ACC o"'-+----+--~


Hin
Vin
Main Oul O=~--1 SCl
SDA
Deooder Xlal =+----t--"iYOii'l
Reset
10
Vid 112 Sel
30
MutliTest
Encoder Phase
Encoder ACC v -.........~~~..,

Motorola Master Selection Guide 4.8-11 Analog and Interface Integrated Circuits
Video Circuits (continued)

Multistandard VideolTimebase Processor


MC44002P
Case 711

The MC44002 is a highly advanced circuit which performs • Filters Automatically Commutate with Change
most of the basic functions required for a color TV. All of its of Standard
advanced features are under processor control via an 12C bus, • Chroma Delay Line is Realized with Companion
enabling potentiometer controls to be removed completely. In Device (MC44140)
this way the component count may be reduced dramatically to • RGB Drives Incorporate Contrast and Brightness
allow significant cost savings and the possibility of Controls and Auto Gray Scale
implementing sophisticated automatic test routines. Using the • Switched RGB Inputs with Saturation Control
MC44002, TV manufacturers will be able to build a standard • Auxiliary Y, R-Y, B-Y Inputs
chassis for anywhere in the world. • Line Timebase Featuring H-Phase Control and
• Operation from a Single 5.0 V Supply; Typical Current Switch able Phase Detector Gain and Time Constant
Consumption Only 120 mA • Vertical Timebase Incorporating the Vertical
• Full PAUSECAMINTSC Capability Geometry Corrections
• Dual Composite Video or S-VHS Inputs • E-W Parabola Drive Incorporating the Horizontal
• All ChromalLuma Channel Filtering, and Luma Delay Geometry Corrections
Line are Integrated Using Sampled Data Filters Requiring • Beam Current Monitor with Breathing Compensation
No External Components • 16:9 Display Mode Capability

Analog and Interface Integrated Circuits 4.8-12 Motorola Master Selection Guide
Video Circuits (continued)

Advanced NTSC Comb Filter


MC141621FB
Case 898

The MC141621 is an advanced NTSC comb filter for VCR • Built-in High Speed B-Bit AID Converter
and TV applications. It separates the luminance (Y) and • Two Line Memories (1820 Bytes)
chrominance (C) signals from the NTSC composite video • Advanced Combing Process
signal by using digital signal processing techniques. This filter • Two B-Bit D/A Converters
allows a video signal input of an extended frequency • Built-in Clamp Circuit
bandwidth by using a 4.0 FSC clock. In addition, the filter • On-Chip Reference Voltage Regulator for ADC
minimizes dot crawl and cross color effects. The built-in AID • Digital Interface Mode
and D/A converters allow easy connections to analog video
circuits.

RTP RTPS

28 27

Self 23
RBTS
Bias

39 14-_ _22_ RBT


IBias IBias ADC

21
'------Vin

20
Yout CLout
Ref(DA) --'---;

Cout Clamp 18 CLC

17
,-----TEI

16
r---TEO

Model

' - - - - - Mode 0
VCC(AD) = Pin 25
VCC(D) = Pin 11
VCC(DA) = Pin 42
GND(AD) = Pin 26
GND(D) = Pins 9, 19
GND(DA) = Pin 43

Motorola Master Selection Guide 4.8-13 Analog and Interface Integrated Circuits
Video Circuits (continued)

Advanced Comb Filter-II (ACF-II)


MC141622FU
Case 898

The Advanced Comb Filter-II is a video signal processor • Built-in High Speed 8-Bit AID Converter
for VCRs and TVs. It's function is to separate the Luminance • Two Line Memories (1820 Bytes)
Y and Chrominance C signals from the NTSC composite video • Advanced Comb-II Process
signal. The ACF-II minimizes dot-crawl and cross-color. A • Vertical Enhancer Circuit
built-in PLL provides a 4xfsc clock from either an NTSC • Two High Speed 8-Bit D/A Converters
subcarrier signal or a 4xfsc input. This allows a video signal • 4xfsc PLL Circuit
input of an extended frequency bandwidth. The built-in • Built-in Clamp Circuit
vertical enhancer circuit improves the quality o~ the • Digital Interface Mode
Luminance Y signal. The built-in AID and D/A converters • On-Chip Reference Voltage Regulator for AID Converter
allow easy connection to analog video circuits.

D3 TE1

D2 TEO

D1 MODE1

DO MODEO

BK CLK(AD)
ACF-II
VH PROCESSING GND(D)

GND(D) VCC(D)

VCC(D) CLC

FSC

NC

NC RBT

RTP

z
::::;
u::

Analog and Interface Integrated Circuits 4.8-14 Motorola Master Selection Guide
Video Circuits (continued)

Closed-Caption Decoder
MC144143P
Case 707

The MC144143 is a Line 21 closed-caption decoder for • Conforms to the FCC Report and Order as Amended by
use in television receivers or set top decoders conforming to the Petition for Reconsideration on Gen. Doc. 91-1
the NTSC broadcast standard. Capability for processing and • Supports Four Different Data Channels, TIme Multiplexed
displaying all of the latest standard Line 21 closed-caption within the Line 21 Data Stream: Captions Utilizing
format transmissions is included. The device requires a Languages 1 & 2, Plus Text Utilizing Languages 1 & 2
closed-caption encoded composite video signal, a horizontal • Output Logic Provides Hardware Underline Control and
sync signal, and an external keyerto produce captioned video. Italics Slant Generation
RGB outputs are provided, along with a luminance and a box • Single Supply Operating Voltage Range: 4.75 to 5.25 V
signal, allowing simple interface to both color and black and • Composite Video Input Range: 0.7 to 1.4 Vpp
white receivers. • Horizontal Sync Input Polarity can be either Positive
or Negative
• Internal TIming/Sync Signals Derived from
On-ChipVCO

Data Modulator &


Video Transfer Buffer
In

Slice 12
Level ----11-----1

Command
Lock Processor
Character
ROM

5
R
G
7
B
4
Luma
Hsync 3
Box

Filter Reset
t6
Config
Decoder
Enable Control
17
CT/SData
tS
Lang/SClk

Motorola Master Selection Guide 4.8-15 Analog and Interface Integrated Circuits
Video Circuits (continued)

Enhanced Closed-Caption Decoder


MC144144P
Case 707

The MC144144 is a Line 21 closed-caption decoder for An on-chip processor controls the manipulation of data for
use in television receivers or set-top decoders conforming to storage and display. Also controlled are the loading,
the NTSC standard. Capability for processing and displaying addressing, and clearing of the display RAM. The processor
all of the latest standard Line 21 closed-caption format transfers the data received to the RAM during scan lines 21
transmissions is included. The device requires a closed- through 42. The operation of the display RAM, character ROM,
caption encoded composite video signal, a horizontal sync and output logic circuits are controlled during scan lines 43
signal, and an external keyer to produce captioned video. through 237. The functions of the MC144144 are controlled via
RGB and box signal outputs are provided, which along with a serial port which may be configured to be either 12C or SPI.
the mode select, allow simple interfacing to either color or • Conforms to FCC Report and Order as Amended by the
black-and-white TV receivers. Petition for
Display storage is accomplished with an on-chip RAM. A Reconsideration on Gen. Doc. 91-1
modified ASCII character set, which includes several • Conforms to EIA-60B for XDS Data Structure
non-English characters, is decoded by an on-chip ROM. An • Supports Four Different Data Channels for Field 1 and
on-screen character appears as a white or colored dot matrix Five Different Data Channels for Field 2, Time
on a black background. Multiplexed within the Line 21 Data Stream: Captions
Captions (video-related information) can be up to four rows Utilizing Languages 1 and 2, Text Utilizing Languages 1
appearing anywhere on the screen and can be displayed in two and 2 and XDS Support
modes: roll-up, paint-on, or pop-on. With rollup captions, the • Output Logic Provides Hardware Underline Control and
row scrolls up and new information appears at the bottom row Italics Slant Generation
each time a carriage return is received. Pop-on captions work • Single Supply, Operating Voltage Range: 4.75 to 5.25 V
with two memories. One memory is displayed while the other • Supply Current: 20 mA (Preliminary)
is used to accumulate new data. A special command causes • Operating Temperature Range: 0 to 70°C
the information to be exchanged in the two memories, thus • Composite Video Input Range: 0.7 to 1.4 Vpp
causing the entire caption to appear at once. • Horizontal Input Polarity: Either Positive or Negative
When text (non-video related information) is displayed, the • Internal Timing and Sync Signals Derived from On-Chip
rows contain a maximum of 32 characters over a black box VCO
which overwrites the screen. Fifteen rows of characters are
displayed in the text mode.

Analog and Interface Integrated Circuits 4.8-16 Motorola Master Selection Guide
s:: <
~
aiii"
c:CD
~~~-----------------, o
s::
Q
...o
ien I:
CD
[ VIDEO +---.:..¢. -I g
~r en
G>
r:::
:::J
'"a:o S-a
c: -= o :i"
CD
~ « c
.s
CSYNCI

-= '-'
~
CGLlNES "-
::0
..,. 8
!
"

FLD - 1 'j'l

FLD ADDR
DECODER
LS
SFLP LINE & FLD
>
::> ???? CTR
!!!.
0
IQ
III r;:;l
~
::> LINE AND FLO
c.
:; DECODERS
!it 1 11

-------~J:lr~;--~~
::!.
III
n I HIN 17 3 2 18
CD
:;
!it
IQ
i!l.
CD
c.
T1::'d: LOOP
FILTER -=
0
r;"
r:::
m: -=
Video Circuits (continued)

Set-Top Block Diagram

r------,
I I
I I
I I
IL I
_ _ _ _ _ .J
~~-___l~,.) R
c--iH-___l~'.) G
-+-+....--D>--@ B

y
C

CB

Channel
314

Oigital Sound Section

• In Development

Analog and Interface Integrated Circuits 4.8-18 Motorola Master Selection Guide
Video Circuits (continued)

PLL Tuning Circuits with 3-Wire Bus


MC44817BD, D
Case 751B

The MC44817/17B are tuning circuits for TV and VCR • Reference Divider: Programmable for Division Ratios 512
tuner applications. They contain on one chip all the functions and 1024. The MC44817B has a Fixed 1024 Reference
required for PLL control of a VCO. The integrated circuits also Divider
contain a high frequency prescaler and thus can handle • 3-State Phase/Frequency Comparator
frequencies up to 1.3 GHz. • Operational Amplifier for Direct Tuning Voltage Output
The MC44817 has programmable 512/1024 reference (30 V)
dividers while the MC44817B has a fixed reference divider of • Four Integrated PNP Band Buffers for 40 mA (VCC1 to
1024. 14.4 V)
The MC44817/17B are manufactured on a single silicon • Output Options for the Reference Frequency and the
chip using Motorola's high density bipolar process, MOSAICTM Programmable Divider
(Motorola Oxide Self Aligned Implanted Circuits). • Bus Protocol for 18 or 19 Bit Transmission
• Complete Single Chip System for MPU Control (3-Wire • Extra Protocol for 34 Bit for Test and Further Features
Bus). Data and Clock Inputs are IIC Bus Compatible • High Sensitivity Preamplifier
• Divide-by-8 Prescaler Accepts Frequencies up to • Circuit to Detect Phase Lock
1.3GHz • Fully ESD Protected
• 15 Bit Programmable Divider Accepts Input Frequencies
up to 165 MHz
Bands Out 30 rnA
(40 rnA at 0° to ao°C) VTUN

VCC3
5.0V 7 13 12 11 10 14
12V

.-/.it----..-4-o Amp In
2.7V

Lock

EN ~----+_-rL-..-'--'1

Data
Clockr~I--1..-.:;:;:~JI
~==~;~~~L.-_~~ __--.J
XTAL

Preamp 2

Motorola Master Selection Guide 4.8-19 Analog and Interface Integrated Circuits
Video Circuits (continued)

PLL Tuning Circuit with 12C Bus


MC44818D
Case 751B

The MC44818 is a tuning circuit for TV and VCR tuner • 15 Bit Programmable Divider Accepts Input Frequencies
applications. It contains, on one chip, all the functions required up to 165 MHz
for PLL control of a VCO. This integrated circuit also contains • Reference Divider: Programmable for Division Ratios 512
a high frequency prescaler and thus can handle frequencies and 1024.
up to 1.3 GHz. The MC44818 is a pin compatible drop-in • 3-State Phase/Frequency Comparator
replacementforthe MC44817, where the only difference is the • Operational Amplifier for Direct Tuning Voltage Output
MC44818 has a fixed divide-by-8 prescaler (cannot be (30 V)
bypassed) and the MC44817 uses the three wire bus. • Four Integrated PNP Band Buffers for 40 rnA (VCC1 to
The MC44818 has programmable 512/1024 reference 14.4 V)
dividers and is manufactured on a single silicon chip using • Output Options for the Reference Frequency and the
Motorola's high density bipolar process, MOSAICTM (Motorola Programmable Divider
Oxide Self Aligned Implanted Circuits). • High Sensitivity Preamplifier
• Complete Single Chip System for MPU Control (12C Bus). • Circuit to Detect Phase Lock
Data and Clock Inputs are 3-Wire Bus Compatible • Fully ESD Protected
• Divide-by-8 Prescaler Accepts Frequencies up to
1.3 GHz
Bands Out 30 mA
(40 mA at 00 to BOaC) VTUN

VCC1 VCC3
5.0V 7 13 12 11 10

.........t----1....-o
4 Amp In

Latches
DTB1

Lock

XTAL

DTS, EN

Analog and Interface Integrated Circuits 4.8-20 Motorola Master Selection Guide
Video Circuits (continued)

PLL Tuning Circuits with 12C Bus


MC44824125D
Case 751A, 751B

The MC44824/25 are tuning circuits for TV and VCR tuner • 15 Bit Programmable Divider
applications. They contain on one chip all the functions • Reference Divider: Programmable for Division Ratios 512
required for PLL control of a VCO. The integrated circuits also and 1024
contain a high frequency prescaler and thus can handle • 3-State Phase/Frequency Comparator
frequencies up to 1.3 GHz. • 4 Programmable Chip Addresses
The MC44824/25 are manufactured on a single silicon chip • 3 Output Buffers (MC44824) respectively; 5 Output
using Motorola's high density bipolar process, MOSAICTM Buffers (MC44825) for 10 mAl15 V
(Motorola Oxide Self Aligned Implanted Circuits). • Operational Amplifier for use with External NPN Transistor
• Complete Single Chip System for MPU Control (12C Bus). • S0-14 Package for MC44824 and S0-16 for MC44825
Data and Clock Inputs are 3-Wire Bus Compatible • High Sensitivity Preamplifier
• Divide-by-8 Prescaler Accepts Frequencies up to • Fully ESD Protected
1.3 GHz

Vcc UD
5.0 V 10 (12) 6(6) -(7) 8(9) 9(10) -(11) 14(16)

1 (1) PD
BO
2.7V

Gnd

XTAL1

XTAL2

HF Inputt
HF Input2 u---....,.,

MC44825 Pin Numbers ( )

Motorola Master Selection Guide 4.8-21 Analog and Interface Integrated Circuits
Video Circuits (continued)

PLL Tuning Circuit with 3-Wire Bus


MC44827DTB
Case 948F
The MC44827 is a tuning circuit for TV and VCR tuner The MC44827 has the same features as MC44817 with the
applications. This device contains on one chip all the functions following differences:
required for PLL control of a VCO. This integrated circuit also • Lower Power Consumption, 200 mW Typical
contains a high frequency prescaler and thus can handle • Improved Prescaler with Higher Margins for Sensitivity
frequencies up to 1.3 GHz. and Temperature Range. (A typical device is functional in
The MC44827 is controlled by a 3-wire bus. It has the a temperature range greater than -40 to 100°C.)
same function as the MC44828 which is 12C bus controlled. • Lock Detector with Push-Pull Output
The MC44827 and MC44828 can replace each other to allow • No Bypass of Divide-by-8 Prescaler
conversion between 3-wire bus and 12C bus control. • TSSOP Package
The MC44827 is manufactured on a single silicon chip
using Motorola's high density bipolar process, MOSAICTM
(Motorola Oxide Self Aligned Implanted Circuits).

PLL Tuning Circuit with 12C Bus


MC44828DTB
Case 948F
The MC44828 is a tuning circuit for TV and VCR tuner The MC44828 has the same features as MC44818 with the
applications. This device contains on one chip all the functions following differences:
required for PLL control of a VCO. This integrated circuit also • Lower Power Consumption, 200 mW Typical
contains a high frequency prescaler and thus can handle • Improved Prescaler with Higher Margins for Sensitivity
frequencies up to 1.3 GHz. and Temperature Range. (A typical device is functional in
The MC44828 is controlled by an 12C bus. It has the same a temperature range greater than -40 to 100°C.)
function as the MC44827 which is 3-wire bus controlled. The • Lock Detector with Push-Pull Output
MC44827 and MC44828 can replace each other to allow • TSSOP Package
conversion between 3-wire bus and 12C bus control.
The MC44828 is manufactured on a single silicon chip
using Motorola's high density bipolar process, MOSAlcrM
(Motorola Oxide Self Aligned Implanted Circuits).

Analog and Interface Integrated Circuits 4.8-22 Motorola Master Selection Guide
Video Circuits (continued)

PLL Tuning Circuit with 12C Bus


MC44829D
Case 751A
The MC44829 is a tuning circuit for TV and VCR tuner • 15 Bit Programmable Divider
applications. It contains, on one chip, all the functions required • Reference Divider: Programmable for Division Ratios 512
for PLL control of a VCO. This integrated circuit also contains and 1024
a high frequency prescaler and thus can handle frequencies • 3-State Phase/Frequency Comparator
up to 1.3 GHz. The circuit has a band decoder that provides • Operational Amplifier for Direct Tuning Voltage Output
the band switching signal for the mixer/oscillator circuit. The (30 V)
decoder is controlled by the buffer bits. • Four Programmable Chip Addresses
The MC44829 has programmable 512/1024 reference • Integrated Band Decoder for the Mixer/Oscillator Circuit
dividers and is manufactured on a single silicon chip using • Band Buffers with Low "On" Voltage (0.4 V Maximum at
Motorola's high density bipolar process, MOSAICTM (Motorola 5.0mA)
Oxide Self Aligned Implanted Circuits). • Fully ESD Protected to MIL-STD-883C, Method 3015.7
• Complete Single Chip System for MPU Control (12C Bus) (2000 V, 1.5 kn, 150 pF)
• Divide-by-8 Prescaler Accepts Frequencies up to
1.3 GHz
VTUN VCC2

CL
Bands Out
r
8 7

14
B6 B5
2.7V PHO
Buffers
-=-
T8

Gnd 2

DTB2

POR

9
CA CL
SDA 11
SCL 10 Data
RL

DTF

T 12pF
D 3.214.0
l MHz

DTS, EN

Motorola Master Selection Guide 4.8-23 Analog and Interface Integrated Circuits
Video Circuits (continued)

Advanced PAUNTSC Encoder


MC13077P, ow
Case 738, 7510

The MC13077 is an economical, high quality, RGB encoder • S-Video Outputs


for PAL or NTSC applications. It accepts red, green, blue and • PAUNTSC Switch able
composite sync inputs and delivers either composite PAL or • PAL Squarewave Output
NTSC video, and S-Video Chroma and Luma outputs. The • PAL Sequence Resettable
MC13077 is manufactured using Motorola's high density, • Internal/External Burst Flag
bipolar MOSAIC® process. • Modulator Angles Accurate to 90°
• Single 5.0 V Supply • Burst Position/Duration Determined Digitally
• Composite Output • Subcarrier Reference from a Crystal or External Source

VCC Gnd

i----~---------------------~-----l
3.58/ I
Divide By Four Ring
Counter Divide By 256 4.43 MHz I
Latch I
I
I
45" 0" I
I
PLL
LPF
Off

3.58/4.43 MHz
In/PLLOff

Rln
Color
Difference
and
Gin Luma
Matrix
Bin

Analog and Interface Integrated Circuits 4.8-24 Motorola Master Selection Guide
Consumer Electronic Circuits Package Overview

CASE 626
PSUFFIX

CASE 646
P SUFFIX
CASE 648
PSUFFIX

- CASE 707
PSUFFIX
CASE 709
PSUFFIX
CASE 710
PSUFFIX

CASE 711
PSUFFIX
- CASE 724
PSUFFIX
CASE 738
H, PSUFFIX


CASE 751
D SUFFIX
CASE 751A
DSUFFIX
CASE 751B
DSUFFIX
CASE 751D
DWSUFFIX

CASE 751E
DWSUFFIX
CASE 751F
DWSUFFIX

CASE 751G
DWSUFFIX

Motorola Master Selection Guide 4.8-25 Analog and Interface Integrated Circuits
Consumer Electronic Circuits Package Overview (continued)

CASE 777
FN SUFFIX

CASE 824, 824A
FBSUFFIX
•CASE 824E
FBSUFFIX

CASE 859
BSUFFIX

CASE 873
FU SUFFIX
CASE 898
FB, FU, P SUFFIX


CASE 904
FSUFFIX

CASE948F
DTB SUFFIX

Analog and Interface Integrated Circuits 4.8-26 Motorola Master Selection Guide
Automotive Electronic Circuits

In Brief ...
Motorola Analog has established itself as a global leader Page
in custom integrated circuits for the automotive market. With Voltage Regulators ............................. 4.9-2
multiple design centers located on four continents, global Electronic Ignition .............................. 4.9-2
process and assembly sites, and strategically located Special Functions .............................. 4.9-3
supply centers, Motorola serves the global automotive Package Overview ............................ 4.9-12
market needs. These products are key elements in the
rapidly growing engine control, body, navigation,
entertainment, and communication electronics portions of
modern automobiles. Though Motorola is most active in
supplying automotive custom designs, many of yesterday's
proprietary custom devices have become standard products
of today, available to the broad base manufacturers who
support this industry. Today, based on new technologies,
Motorola offers a wide array of standard products ranging
from rugged high current "smart" fuel injector drivers which
control and protect the fuel management system through the
rigors of the underhood environment, to the latest
SMARTMOSTM switches and series transient protectors.
Several devices are targeted to support microprocessor
housekeeping and data line protection. A wide range of
packaging is available including die, flip-chip, and SOICs for
high density layouts, to low thermal resistance multi-pin,
single-in-line types for high power control ICs.

Motorola Master Selection Guide 4.9-1 Analog and Interface Integrated Circuits
Automotive Electronic Circuits
Table 1. Voltage Regulators
Suffix!
Function Features Package Device
Low Dropout Voltage Positive fixed and adjustable output voltage regulators which ZJ29, T/221 A, LM2931, C
Regulator maintain regulation with very low input to output voltage differential. T/3140, THl314A,
TV/314B,OT/369A,
OT-1/369,02T/936,
02T/936A,01751

Low Dropout Dual Positive low voHage differential regulator which features dual 5.0 V T/3140, TH/314A, LM2935
Regulator outputs, with currents in excess of 750 mA (switched) and 10 mA TV/314B,02T/936A
standby, and quiescent current less than 3.0 mA.
Automotive Voltage Provides load response control, duty cycle limiting, under/overvoltage OW1751 0 MC33092
Regulator and phase detection, high side MOSFET field control, voltage
regulation in 12 V altemator systems.
Low Dropout Voltage Positive 5.0 V, 500 mA regulator having on-chip power-up-reset T/3140, TV/314B MC33267
Regulator circuit with programmable delay, current limit, and thermal shutdown.
Low Dropout Voltage Positive 3.3 V, 5.0 V, 12 V, 800 mA regulator. 01751, OT/369A MC33269
Regulator

Table 2. Electronic Ignition


Suffix!
Function Features Package Device
Electronic Ignition Used in high energy variable dwell electronic ignition systems with P/626, 01751, MC3334,
Circuit variable reluctance sensors. Dwell and spark energy are extemally Flip-Chip MCCF3334
adjustable. "Bumped" die for inverted mounting to substrate.

Electronic Ignition Used in high energy electronic ignition systems requiring differential OWI751G, MC33093,
Circuit Hall Sensor control. "Bumped" die for inverted mounting to substrate. Flip-Chip MCCF33093
Electronic Ignition Used in high energy electronic ignition systems requiring single Hall OWI751G, MC33094,
Circuit Sensor control. "Bumped" die for inverted mounting to substrate. Flip-Chip MCCF33094

Electronic Ignition Used in high energy electronic ignition systems requiring single Hall OWI751G, MC79076,
Circuit Sensor control. Dwell feedback for coil variation. "Bumped" die for Flip-Chip MCCF79076
inverted mounting to substrate.

Analog and Interface Integrated Circuits 4.9-2 Motorola Master Selection Guide
Table 3. Special Functions
Suffix!
Function Features Package Device
Low Side Protected Single automotive low side switch having CMOS compatible input, T/221 A, T-1/314D, MC3392
Switch 1.0 A maximum rating, with overcurrent, overvoltage and thermal DW/751G
protection.
Low Current High-Side Drives loads from positive side of power supply and protects against T/314D, DW/751G MC3399
Switch high-voltage transients.

High-Side TMOS Driver Designed to drive and protect N-channel power MOSFETs used in P/626, D/751 MC33091A
high side swnching applications. Has internal charge pump, externally
programmed timer and fault reporting.
MI-Bus Interface High noise immunity serial communication using MI-Bus protocol to DW/751G MC33192
Stepper Motor control relay drivers and motors in harsh environments. Four phase
Controller signals drive two phase motors in either half or full-step modes.
Quad Fuel Injector Four low side swnches with parallel CMOS compatible input control, T/821D, TV/821C MC33293
Driver :$ 7.0 rnA quiescent current, 0.25 Q rDS(on) at 25°C independent
outputs with 3.0 A current limiting and internal 65 V clamps.
Octal Serial Output Eight low side switches having 8-bit serial CMOS compatible input P/738, DW/751E MC33298
Switch control, serial fault reporting, :$ 4.0 rnA quiescent current, independent
0.45 Q rDS(on) at 25°C outputs with 3.0 A minimum current limiting and
internal 55 V clamps.
Integral Alternator Control device used in conjunction with a Darlington device to monitor D/751A, Flip-Chip MC33095
Regulator and control the field current in alternator charging systems. "Bumped" MCCF33095
die for inverted mounting to substrate.
Peripheral Clamping Protects up to six MPU 1/0 lines against voltage transients. '/626, D/751 TCF6000
Array

Automotive Direction Detects defective lamps and protects against overvoltage in D/751 , P/626 MC33193
Indicator automotive turn-signal applications. Replaces UAA1041 B in most
applications.
Automotive Wash Wiper Standard wiper timer control device that drives a wiper motor relay and D/751 , P/626 MC33197
Timer can perform the intermittent, afterwash and continuous wiper timer
functions.
Automotive ISO 9141 Interface between the two-wire asynchronous serial communication D/751 A MC33199
Serial Link Driver interface (SCI) of a microcontroller and a special one-wire care
diagnosis system (DIA).
'No Suffix

Motorola Master Selection Guide 4.9-3 Analog and Interface Integrated Circuits
Quad Fuel Injector Driver
MC33293T, MC33293TV
TJ =-40 0 to +150°C, Case 8210, C

The MC33293T is a monolithic quad low-side switching shorted loads, and over temperature condition of outputs. A
device having CMOS logic, bipolar/ CMOS analog circuitry, shorted load condition will shut off only the specific output
and OMOS power FETs. All inputs are CMOS compatible. involved while allowing other outputs to operate normally. An
Each independent output is internally clamped to 65 V, current overvoltage condition will shut off all outputs for the
limited to <!: 3.0 A, and has an rOS(on) of :s; 0.25 n with VPWR overvoltage duration. A single/dual mode select pin allows
<!: 9.0 V and may be paralleled to lower rOS(on). Fault output either independent input/output operation or paired output
reports existence of open loads (outputs "On" or "Off"), operation.

3 (Input 1)

4 (Input 2)

13 (Input 3)

12 (Input 4)

5 (Input 1 + 2)

6 (Single/Dual Select)

11 (Input 3 + 4)

10 (Fault)

Analog and Interlace Integrated Circuits 4.9-4 Motorola Master Selection Guide
Octal Serial Switch
MC33298P, MC33298DW
TJ = -40° to +150°C, Case 738, 751E
The MC33298 is a monolithic eight output low-side switch Each independent output is internally clamped to 55 V, current
with 8-bit serial input control. Incorporates CMOS logic, limited to ~ 3.0 A, and has an rDS(on) of s 0.45 n with VPWR
bipolar/CMOS analog circuitry, and DMOS power FETs. All ~ 9.0 V. This device has low standby current, cascadable fault
inputs are CMOS compatible. It is designed to interface to a status reporting, output diagnostics, and shutdown for each
microcontroller and switch inductive or incandescent loads. output.

17 (VpWR)

20 (Output 0)
19 (Output 1)
12 (Output 2)
11 (Output 3)
10 (Output 4)
9 (Output 5)
2 (Output 6)
1 (Output 7)

5 (Ground)
6 (Ground)
15 (Ground)
16 (Ground)

Motorota Master Selection Guide 4.9-5 Analog and Interface Integrated Circuits
Low Side Protected Switch
MC3392T, T-1, ow
TJ = -40° to +150°C,
Case 221A, 3140, 751G

Single low side protected switch with fault reporting extremely high gain, low saturation Darlington transistor
capability. Input is CMOS compatible. Output is short circuit having a CMOS input characteristic with added protection
protected to 1.0 A minimum with a unique current fold-back features. In some applications, the three terminal version can
feature. Device has internal output clamp for driving inductive replace industry standard TIP1 00/1 01 NPN power Darlington
loads with overcurrent, overvoltage, and thermal protection. transistors.
When driving a moderate load, the MC3392 performs as an

Gnd

Analog and Interface Integrated Circuits 4.!Hi Motorola Master Selection Guide
High Side TMOS Driver
MC33091 AP, AD
TJ = -40 0 to + 150°C, Case 626, 751

Offers an economical solution to drive and protect Few external components required to drive a wide variety of
N-channel power TMOS devices used in high side switching N-channel TMOS devices. A Fault output is made available
configurations. Unique device monitors load resulting VDS. through the use of an open collector NPN transistor requiring
TMOS voltage to produce a proportional current used to drive a single pull-up resistor for operation. Input is CMOS
an externally programmed over current timer circuit to protect compatible. Device uses ~ 3.0 flA standby current and has an
the TMOS device from shorted load conditions. Timer can be internal charge pump requiring no external components for
programmed to accommodate driving incandescent loads. operation.

RS

r~-----------
I
I Overvoltage
Shutdown
I
Ii'
Input
7 I'
I
t
I
r
I
I
I
I
L,
r
I
Fault
6 I
I
I
I
I

L--;r---------.

Motorola Master Selection Guide 4.9-7 Analog and Interface Integrated Circuits
MI-Bus Interface Stepper Motor Controller
MC33192DW
TJ = -40° to +100°C, Case 751G
-.>----------_---------- +Vbat
Intended to control loads in harsh
automotive environments using a serial
VCC
communication bus. Can provide
satisfactory real time control of up to eight 1 MI
To other
stepper motors using MI-Bus protocol. Use devices
MC33192DW
of MI-Bus offers a noise immune system
solution for difficult applications involving Osc
relays and motors. The stepper motor
controller provides four phase signals to
drive two phase motors in either half of Ground
full-step modes. Designed to interface to a Ceramic
microprocessor with minimal amount of Resonator From MCU
wiring, affording an economical and
MI-Bus -.e.+------------------- MI-Bus

versatile system.

Automotive Direction Indicator


MC33193P,D
TA = -40° to +125°C, Case 626, 751

The MC33193 is a new generation industry standard for EMI purposes. Fault detection thresholds are reduced
UAA 1041 "Flasher". It has been developed for enhanced EMI relative to those of the UAA1041 allowing a lower shunt
sensitivity, system reliability, and improved wIring resistance value (20 mO) to be use.
simplification. The MC33193 is pin compatible with the • Pin Compatible with the UAA1041
UAA1041 and UAA 1041 B in the standard application • Defective Lamp Detection Threshold
configuration as shown in Figure 9, without lamp short circuit • RF Filter for EMI Purposes
detection and using a 20 mO shunt resistor. The MC33193 has • Load Dump Protection
a standby mode of operation requiring very low standby • Double Battery Capability for Jump Start Protection
supply current and can be directly connected to the vehicle's • Internal Free Wheeling Diode Protection
battery. It includes a RF filter on the Fault detection pin (Pin 7) • Low Standby Current Mode

Analog and Interface Integrated Circuits 4.9-8 Motorola Master Selection Guide
Automotive Wash Wiper Timer
MC33197D
TA =-40° to +105°C, Case 751
MC33197P
TA =-40° to + 125°C, Case 626
The MC33197 is a standard wiper timer control device • Adjustable Time Interval of Less Than 500 ms to More
designed for harsh automotive applications. The device can Than 30s
perform the intermittent, after wash, and continuous wiper • Intermittent Control Pin Can Be Switched to Ground
timer functions. It is designed to directly drive a wiper motor or Vbat
relay. The MC33197 requires very few external components • Adjustable After Wipe TIme
for full system implementation. The intermittent control pin can • Priority to Continuous Wipe
be switched to ground or Vbat to meet a large variety of • Minimum Number of TIming Components
possible applications. The intermittent timing can be fixed or • Integrated Relay Driver With Free Wheeling Protection
adjustable via an external resistor. The MC33197 is built using Diode
bipolar technology and parametrically specified over the • Operating Voltage Range From 8.0 to 16 V
automotive ambient temperature range and 8.0 to 16 V supply • For Front Wiper and Rear Wiper Window Applications
voltage. The MC33197 can operate in both front and rear
wiper applications.

R1 =220 Q
R2=22kQ
R3= 1.5 to 22 kQ
R4=4.7kQ

1,.
R5=4.7kQ
C1 = 47JlF
C2=100nF

Switch

Water Pump Motor

1
-= Gnd
>--..J\Af.r------,

Switch -

Motorola Master Selection Guide 4.9-9 Analog and Interface Integrated Circuits
Automotive ISO 9141 Serial Link Driver
MC33199D
TA =-40° to +125°C, Case 751A
The MC33199D is a serial interface circuit used in • Electrically Compatible with Specification "Diagnosis
diagnostic applications. It is the interface between the System ISO 9141"
microcontroller and the special K and L Lines of the ISO • Transmission Speed Up to 200 k Baud
diagnostic port. The MC33199D has been designed to meet • Internal Voltage Reference Generator for Line
the "Diagnosis System ISO 9141" specification. Comparator Thresholds
The device has a bi-directional bus K Line driver, fully • TXD, RXD and LO Pins are 5.0 V CMOS Compatible
protected against short circuits and over temperature. It also • High Current Capability of DIA Pin (K Line)
includes the L Line receiver, used during the wake up • Short Circuit Protection for the K Line Input
sequence in the ISO transmission. • Over Temperature Shutdown with Hysteresis
The MC33199 has a unique feature which allows • Large Operating Range of Driver Supply Voltage
transmission baud rate up to 200 k baud. • Full Operating Temperature Range
• ESD Protected Pins

Vee Vs

REF-0UT

LO

REF-IN-L
REF-IN-K
11
RXD
DIA

TXD
Gnd

Analog and Interface Integrated Circuits 4.9-10 Motorola Master Selection Guide
Alternator Voltage Regulator
MC33092DW
TJ = -40° to +125°C, Case 7510

Provides voltage regulation and load response control in system battery voltage to an externally programmed set pOint
diode rectified 12 V alternator charging systems. Provides value and pulse width modulates an N-channel MOSFET
externally programmed load response control of the alternator transistor to control the average alternator field current. In
output current to eliminate engine speed hunting and vibration addition, has duty cycle limiting, under/overvoltage and phase
due to sudden electrical loads. Monitors and compares the detection (broken belt) protective features.

Gate

Source
Sense
(Remote)

Lamp
Supply Reg Collector
(Local)

Lamp Base
Phase

Ground

OscAdjust

Motorola Master Selection Guide 4.9-11 Analog and Interface Integrated Circuits
Automotive Electronic Circuits Package Overview

,
CASE 29
ZSUFFIX
CASE 221A
TSUFFIX
, CASE 314A
TH SUFFIX
~ CASE 314B
TV SUFFIX

CASE 314D
T, T-1 SUFFIX
, • ,.
CASE 369
DT-1 SUFFIX
CASE 369A
DTSUFFIX
CASE 626
P, NO SUFFIX

- CASE 738
PSUFFIX

CASE 751
DSUFFIX
#
CASE 751 A
DSUFFIX
~
CASE 751D
DWSUFFIX
# CASE 751E
DWSUFFIX


CASE 751G
DWSUFFIX
CASE 821C
TV SUFFIX
CASE 821D
TSUFFIX


CASE 936
D2TSUFFIX

CASE 936A
D2TSUFFIX

Analog and Interface Integrated CircuHs 4.9-12 Motorola Master Selection Guide
Other Analog Circuits

In Brief ...
A variety of other analog circuits are provided for special Page
applications with both bipolar and CMOS technologies. Timing Circuits .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.10-2
These circuits range from the industry standard analog Singles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 4.10-2
timing circuits and multipliers to specialized CMOS smoke Duals ..................................... 4.10-2
detectors. These products provide key functions in a wide Multipliers .................................... 4.10-2
range of applications, including data transmission, Linear Four-Quadrant Multipliers .. . . . . . . . . . . .. 4.10-2
commercial smoke detectors, and various industrial Smoke Detectors (CMOS) ...................... 4.10-3
controls. Package Overview ............................ 4.1 Q-4

Motorola Master Selection Guide 4.10-1 Analog and Interface Integrated Circuits
Timing Circuits Multipliers
These highly stable timers are capable of producing
accurate time delays or oscillation. In the time delay mode of
Linear Four-Quadrant Multipliers
operation, the time is precisely controlled by one external Multipliers are designed for use where the output voltage is
resistor and capacitor. For a stable operation as an oscillator, a linear product of two input voltages. Typical applications
the free-running frequency and the duty cycle are both Include: multiply, divide, square, root-mealHlquare, phase
accurately controlled with two external resistors and one detector, frequency doubler, balanced modulator/demodulator,
capacitor. The output structure can source or sink up to 200 mA electronic gain control.
or drive TTL circuits. TIming intervals from microseconds
through hours can be obtained. Additional terminals are Multiplier Transfer Characteristics
provided for triggering or resetting If desired.

Singles
MC1455P1, D
TA = 0° to +70°C, Case 626,751

MC1455BP1, D
TA = -40° to +85°C. Case 626, 751

VCC
8

-4.0 -2.0 0 2.0 4.0


Threshold 7
Discharge VX, INPUT VOLTAGE (V)
Control
VoHage
MC1494P
TA = 0° to +70°C, Case 648
This device has all the necessary internal regulation and
references. The single-ended output is referenced to ground.

MC1495D, P
TA = 0° to +70°C, Case 751A, 646

Maximum versatility is assured by allowing the user to


Duals select the level shift method.
MC3456P
TA =0° to +70°C, Case 646
MC1495BP
NE556N, D TA =-40° to +125°C, Case 646
TA = 0° to +70°C, Case 646, 751A
Linearity and offset are actually tested over temperature.
This is an improved specification over previous versions.

Analog and Interface Integrated Circuits 4.10-2 Motorola Master Selection Guide
Smoke Detectors (CMOS)
These smoke detector les require a minimum number of piezoelectric transducer. All devices are designed to comply
external components. When smoke is sensed, or a low battery with UL specifications.
voltage is detected, an alarm is sounded via an external

Table 1. Smoke Detectors (CMOS)


Complies
Low with
Recommended Unique Battery Piezoelectric UL217 Device Suffix!
Function Power Source Feature Detector Horn Driver and UL268 Number Package

Ionization-Type Battery High Input Impedance V V V MC14467-1 P1/646


Smoke Detector FET Comparator
Line - - V MC14578 P/648
Ionization-Type Battery V V V MC14468
Smoke Detector
with Interconnect Line - V V MC14470

Photoelectric-Type Battery Photo Amplifier V V V MC145010 P/648,


Smoke Detector DW1751G
with Interconnect
Line (1) V V MC145011

Battery Photo Amplifier V V V MC145012


Temporal Pattern
Line (1) V V MC145013

Ionization-Type Battery High Input Impedance V V V MC145017 P/648


Smoke Detector FET Comparator
Temporal Pattern
Ionization-Type Battery V V V MC145018
Smoke Detector with
Interconnect
(1) Low-supply detector.

Motorola Master Selection Guide 4.10-3 Analog and Interface Integrated Circuits
Other Analog Circuits Package Overview

CASE 626
P1 SUFFIX
• CASE 646
N, P, P1 SUFFIX
-
CASE 648
PSUFFIX

CASE 751
DSUFFIX
CASE 751A
DSUFFIX

CASE 751G
DWSUFFIX

Analog and Interface Integrated Circuits 4.10-4 Motorola Master Selection Guide
Tape and Reel Options

In Brief ...
Motorola offers the convenience of Tape and Reel Page
packaging for our growing family of standard integrated circuit Tape and Reel ................................ 4.11-2
products. Reels are available to support the requirements of Analog MPQTable ............................ 4.11-4
both first and second generation pick-and-place equipment.
The packaging fully conforms to the latest EIA-481A
specification. The antistatic embossed tape provides a
secure cavity, sealed with a peel-back cover tape.

Motorola Master Selection Guide 4.11-1 Analog and Interface Integrated Circuits
Tape and Reel

Mechanical Polarization

SOIC DEVICES PLCC DEVICES

User Direction of Feed


User Direction of Feed
DPAK DEVICES
Typical

User Direction of Feed

Tape Width Devlcell) ReetSlze Device


Package (mm) per Reel (inch) Suffix
SO-S, SOP--8 12 2,500 13 R2
S0-14 16 2,500 13 R2
S0-16 16 2,500 13 R2
SO-16L, SO-S+8L WIDE 16 1,000 13 R2
SO-20LWIDE 24 1,000 13 R2
S0-24L WIDE 24 1,000 13 R2
S0-28L WIDE 24 1,000 13 R2
S0-28L WIDE 32 1,000 13 R3
PLCC-20 16 1,000 13 R2
PLCC-28 24 500 13 R2
PLCC-44 32 500 13 R2
PLCC-52 32 500 13 R2
PLCC-68 44 250 13 R2
PLCC-64 44 250 13 R2
T0-226AA (TQ-92)(2) 18 2,000 13 RA, RE, RP, or RM
(Ammo Pack) only
DPAK 16 2,500 13 RK
(1) Minimum order quantity is 1 reel. Distributors/OEM customers may break lots or reels at their option, however broken reels may not be returned.
(2) Integrated circuns in T0-226AA packages are available in Styes A and E only, with optional "Ammo Pack" (Suffix RP or RM). The RA and RP configurations
are preferred. For ordering infonnation please contact your local Motorola Semiconductor Sales Office.

Analog and Interface Integrated Circuits 4.11-2 Motorola Master Selection Guide
Tape and Reel (continued)

TO-92 Reel Styles


STYLE A
(Preferred) STYLE E

Feed
Feed ~-:::>"':r-------_----.J

Rounded side of transistor and adhesive tape visible. Flat side of transistor and adhesive tape visible.

TO-92 Ammo Pack Styles

STYLE P
(Preferred) STYLEM

Adhesive Tape On Adhesive Tape On


TopSide Top Side

Rounded Side Flat Side

Carrier Carrier
Strip Strip

Rounded side of transistor and Flat side of transistor and


adhesive tape visible. adhesive tape visible.

Style P ammo pack is equivalent to Styles A and 8 of reel pack Style M ammo pack is equivalent to Style E of reel
dependent on feed orientation from box. pack dependent on feed orientation from box.

Motorola Master Selection Guide 4.11-3 Analog and Interface Integrated Circuits
Analog MPQ Table
TapeIReel and Ammo Pack
I Package Type Package Code MPQ

PLCC
Case 775 0802 1000/reel
Case 776 0804 5OD/reel
Case 777 0801 500/reel

SOIC
Case 751 0095 2500/reel
Case 751A 0096 2500/reel
Case 7518 0097 2500/reel
Case 751G 2003 1000/reel
Case 7510 2005 1000/reel
Case 751E 2008 1000/reel
Case 751F 2009 1000/reel

T0-92
Case 29 0031 2000/reel
Case 29 0031 2000/Ammo Pack

Analog and Interface Integrated Circuits 4.11-4 Motorola Master Selection Guide
Communications, Power and
Signal Technologies Group Products

In Brief . ..
Many leading semiconductor manufacturers have either Page
de-emphasized or eliminated discrete components from Small Signal Transistors, FETs and Diodes 5.1-1
their product portfolio. At Motorola, exceptional long-term TVS/Zeners
growth and outstanding customer acceptance of our TranSient Voltage Suppressors
portfolio are the most significant effects of Motorola's Zener Regulator and Reference Diodes ......... 5.2-1
superiority in providing bipolar and MOS transistors, diodes, Hybrid Power Module Operation .................. 5.3-1
thyristors, zeners, opto, RF, rectifier, and sensor devices. TMOS Power MOSFETs Products ................ 5.4-1
Consistent, ongoing improvements in product Bipolar Power Transistors ....................... 5.5-1
development and packaging processing continue to ensure Rectifiers ...................................... 5.6-1
Motorola's position as the most broad-based discrete Thyristors and Triggers .......................... 5.7-1
supplier in the world. The increased use of automatic Optoelectronic Devices .......................... 5.8-1
placement equipment has driven the trend towards surface Sensors ....................................... 5.9-1
mount packaging. RF Products .................................. 5.10-1
Motorola continues to expand upon a broad offering of Surface Mount Information ...................... 5.11-1
discrete surface mount packages which continue to Tape and Reel Specifications
advance state-of-the-art designs that cannot be and Packaging Specifications .................. 5.12-1
accomplished with insertion technology. Surface mount
technology is cost effective, allowing users the opportunity
to utilize smaller units and increased functions with less
board space. In many electronic applications, complex
integrated solutions with a multitude of functions can replace
several active and passive components.
SMARTDISCRETES, RF hybrid amplifiers and modules
and RF monolithic integrated circuits, pressure and
temperature sensors, optoelectronics and hybrid power
modules are a few of the exciting new products which
provide more reliable, intelligent discrete devices. Key
initiatives to raise products and services to a Six Sigma
standard (99.9997% defect-free), reduce total cycle time in
all activities, and provide leadership in the areas of product
and manufacturing ensure that Motorola will continue to be
the manufacturer of choice for all your discrete
semiconductor requirements.

Motorola Master Selection Guide 5.0-1 Communications, Power and


Signal Technologies Group Products
Communications. Power and 5.0-2 Motorola Master Selection Guide
Signal Technologies Group Products
Small Signal Transistors,
FETs and Diodes

In Brief ...
New in this revision is Motorola's GreenLine™ portfolio of Page
devices. They feature energy-conserving traits superior to
Bipolar Transistors ............................. . 5.1-2
those of our existing line of standard parts for the same
Plastic-Encapsulated Transistors ............. . 5.1-2
usage. GreenLine devices can actually help reduce the
Plastic-Encapsulated Multiple Transistors ...... . 5.1-8
power demands of your products.
Plastic-Encapsulated Surface
Also, this section highlights semiconductors that are
Mount Transistors .......................... 5.1-10
the most popular and have a history of high usage for the
Metal-Can Transistors ...................... 5.1-17
most applications.
Field-Effect Transistors ........................ 5.1-19
It covers a wide range of Small Signal plastic and
JFETs ..................................... 5.1-19
metal-can semiconductors.
MOSFETs ................................. 5.1-21
A large selection of encapsulated plastic transistors,
Surface Mount FETs ........................ 5.1-22
FETs and diodes are available for surface mount and
Tuning and Switching Diodes ................... 5.1-24
insertion assembly technology. Plastic packages include
Tuning Diodes - Abrupt Junction ............. 5.1-24
TO-92 (TO-226M), 1 Watt T0-92 (T0-226AE), SOT-23,
Tuning Diodes - Hyper-Abrupt Junction ...... 5.1-28
SC-59, SC-70/S0T-323 and SOT-223. Plastic multiples
Hot-Carrier (Schottky) Diodes . . . . . . . . . . . . . . .. 5.1-32
are available in 14-pin and 16-pin dual in-line packages for
Switching Diodes ........................... 5.1-34
insertion applications: SO-8, S0-14, and S0-16 for
Multiple Switching Diodes .................... 5.1-38
surface mount applications.
GreenLine Devices ............................ 5.1-40
Metal-can packages are available for applications
requiring higher power dissipation or having hermetic
requirements in TO-18 (T0-206AA) and T0-39
(T0-205AD).

Motorola Master Selection Guide 5.1-1 Small Signal Transistors, FETs and Diodes
l
Bipolar Transistors

ASE 29-05
TQ-226AE
1 1-WATT (TQ-92)
23

Plastic-Encapsulated
Transistors
Motorola's Small Signal TO-226 plastic transistors
encompass hundreds of devices with a wide variety of
characteristics for general-purpose, amplifier and switching
applications. The popular high-volume package combines
1
23
J ASE29-G4
TQ-226AA
(TQ-92)

proven reliability, performance, economy and convenience to


provide the perfect solution for industrial and consumer design
problems. All devices are laser marked for ease of
identification and shipped in antistatic containers, as part of
Motorola's ongoing practice of maintaining the highest
standards of quality and reliability.

Table 1. Plastic-Encapsulated General-Purpose Transistors


These general-purpose transistors are designed for small-signal amplification from dc to low ratio frequencies. They are
also useful as oscillators and general-purpose switches. Complementary devices shown where available (Tables 1-4).
fT@lc hFE @IC
V(BR)CEO IC NF

I I I
Volts MHz mA dB
NPN PNP Min Min mA Max Min Max mA Max Style
Case 29-04 - T0-226AA (T0-92)
MPSB099 MPS8599 80 150 10 500 100 300 1.0 - 1
MPSA06 MPSA56 80 100 10 500 100 - 100 - 1
2N4410 - 80 60 10 250 60 400 10 - 1
BC546 BC556 65 150 10 100 120 450 2.0 10 17
BC546A - 65 150 10 100 120 220 2.0 10 17
BC546B BC556B 65 150 10 100 180 450 2.0 10 17
MPSA05 MPSA55 60 100 10 500 100 - 100 - 1
- MPS2907A 60 200 50 600 100 300 150 - 1
BC182 BC212 50 200(1) 10 100 120 500 2.0 10 14
BC237B BC307B 45 150 10 100 200 460 2.0 10 17
BC337 BC327 45 210(1) 10 800 100 630 100 - 17
BC547 BC557 45 150 10 100 120 800 2.0 10 17
BC547A BC557A 45 150 10 100 120 220 2.0 10 17
BC547B BC557B 45 150 10 100 180 450 2.0 10 17
BC547C BC557C 45 150 10 100 380 800 2.0 10 17
MPSA20 MPSA70 40 125 5.0 100 40 400 5.0 - 1
MPS2222A - 40 300 20 600 100 300 150 - 1
2N4401 2N4403 40 200 20 600 100 300 150 - 1
2N4400 2N4402 40 150 20 600 50 150 150 - 1
MPS6602 MPS6652 40 100 50 1000 50 - 500 - 1
2N3903 2N3905 40 200 10 200 50 150 10 6.0 1
2N3904 2N3906 40 250 10 200 100 300 10 5.0 1
BC548 - 30 300(1) 10 100 110 800 2.0 10 17
BC548A - 30 300(1) 10 100 120 220 2.0 10 17
BC548B BC558B 30 300(1) 10 100 200 450 2.0 10 17
BC548C - 30 300 10 100 420 800 2.0 10 17
2N4123 2N4125 30 200 10 200 50 150 2.0 6.0 1
2N4124 2N4126 25 250 10 200 120 360 2.0 4.0 1
BC338 BC328 25 210(1) 10 800 100 630 100 - 17
(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-2 Motorola Master Selection Guide
Plastic-Encapsulated Transistors (continued)

Table 1. Plastic-Encapsulated General-Purpose Transistors (continued)


". @ IC hFE @IC VCE(sat) @ IC @ IB
V(BR)CEO IC
Volts MHz I A Volts
NPN PNP Min Min rnA Max Min I Max I rnA Max I rnA I rnA Style
Case 29-05 - TO-226AE (1-WATT T0-92)

BDB02D 100 50 200 0.5 40 400 100 0.7 1000 100 1


BDC01D BDC02D 100 50 200 0.5 40 400 100 0.7 1000 100 14
BDB01C BDB02C 80 50 200 0.5 40 400 100 0.7 1000 100 1
MPS6717 80 50 200 0.5 80 - 50 0.5 250 10 1
MPSW06 MPSW56 80 50 200 0.5 80 - 50 0.4 250 10 1

Table 2. Plastic-Encapsulated Low-Noise and Good hFE Linearity


These devices are designed to use on applications where good hFE linearity and low-noise characteristics are required:
Instrumentation, hi-fi preamplifier.

hFE@ IC
VT(4) NF(5) ".
V(BR)CEO rnV dB MHz
NPN PNP Volts Min
I Max
I rnA Typ Max Typ Style
Case 29--04 - TQ-226AA (TQ-92)

- 2N5087 50 250 800 0.1 - 2.0 40(2) 1


- 2N5086 50 150 500 0.1 - 3.0 40(2) 1
MPS6428 - 50 250 650 0.1 7.0(7) 3.5(8) 100(2) 1
BC239 - 45 120 800 2.0 9.5 2.0(1) 280 17
BC550B BC560B 45 180 450 2.0 - 2.5 250 17
BC550C BC560C 45 380 800 2.0 - 2.5 250 17
MPSA18 - 45 500 - 1.0 6.5(1) - 160 1
MPS3904 MPS3906 40 100 300 10 - 5.0 200(2) 1
- MPS4250 40 250 - 10 - 2.0 - 1
BC549B BC559B 30 200 450 2.0 - 2.5 250 17
BC549C BC559C 30 380 800 2.0 - 2.5 250 17
2N5088 - 30 350 - 1.0 - 3.0 50 1
2N5089(6) - 25 450 - 1.0 - 2.0 50 1
MPS6521 MPS6523 25 300 600 2.0 - 3.0 - 1
(1) Typical
(2) Min
(4) VT: Total Input Noise Voltage (see BC4131BC414 and BC4151BC416 Data Sheets) at RS = 2.0 kn, IC = 200 )lA, VCE = 5.0 Volts.
(5) NF: Noise Figure at RS = 2.0 k.Q, IC = 200)lA, VCE = 5.0 Votts. f = 30 Hz to 15 kHz.
(7) Rs= 10 kO, BW= 1.0 Hz, f= 100 MHz
(8) Rs = 5000, BW= 1.0 Hz, f = 10 MHz

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-3 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated Transistors (continued)

Table 3. Plastic-Encapsulated Darlington Transistors


Darlington amplifiers are cascade transistors used in applications requiring very high-gain and input impedance. These
devices have monolithic construction.
hFE@IC VCE(sat) @ IC & IB IT @ IC

NPN PNP
V(BR)CEO
Volts
IC
Max Min I Max I rnA
Volts
Max I rnA I rnA Min I rnA Style

Case 29-05 - T0-226AE (1-WATT T0-92)

Case 29-04 - T0-226AA (T0-92)


MPSA29 - 100 500 10K - 100 1.5 100 0.1 125 10 1
BC373 - 80 1000 10K 160K 100 1.1 250 0.25 100 100 1
MPSA27 MPSA77 60 500 10K - 100 1.5 100 0.1 - - 1
BC618 - 55 1000 10K 50K 200 1.1 200 0.2 150 500 17
- MPSA75 40 500 10K - 100 1.5 100 0.1 - - 1
2N6427 - 40 500 20K 200K 100 1.5 500 0.5 - - 1
2N6426 - 40 500 30K 300K 100 1.5 500 0.5 125 10 1
MPSA14 MPSA64 30 500 20K - 100 1.5 100 0.1 125 10 1
MPSA13 MPSA63 30 500 10K - 100 1.5 100 0.1 125 10 1
BC517 - 30 1000 30K - 20 1.0 100 0.1 200(1) 10 17

Table 4. Plastic-Encapsulated High-Current Transistors


The following table is a listing of devices that are capable of handling a higher current range for small-signal transistors.
IT @ IC hFE @IC VCE(sat) @ IC & IB
V(BR)CEO IC

NPN PNP
Volts
Min

Case 29-05 - T0-226AE (1-WATT T0-92)


MHz
Min I rnA
rnA
Max Min I I
Max rnA
Volts
Max I rnA I rnA Style

Case 29-04 - T0-226AA (TO-92)


BC489 BC490 80 200/150(1) 50 1000 60 400 100 0.3/0.5 1000 100 17
BC639 BC640 80 60 10 500 40 160 150 0.5 500 50 14
MPS651 MPS751 60 75 50 2000 75 - 1000 0.5 2000 200 1
MPS650 MPS750 40 75 50 2000 75 - 1000 0.5 2000 200 1
BC368 BC369 20 65 10 1000 60 - 1000 0.5 1000 100 1
(1) Typical

Devices listed in bold, ~alic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-4 Motorola Master Selection Guide
Plastic-Encapsulated Transistors (continued)

Table S. Plastic-Encapsulated High-Voltage Amplifier Transistors


These high-voltage transistors are designed for driving neon bulbs and indicator tubes, for direct line operation, and for
other applications requiring high-voltage capability at relatively low collector current. These devices are listed in order of
decreasing breakdown voltage (V(BR)CEO).
hFE@ IC VCE(sat) @ IC & IB IT@IC
V(BR)CEO IC
Device Volts Amp Volts MHz
Type Min Max

Case 29-0S - TO-226AE (1-WATT TO-92) -


Min
I rnA

NPN
Max
I rnA I rnA Min
I rnA Style

Case 29-0S - TO-226AE (1-WATT T0-92) - PNP


IMPSW92 I 300 0.5 25 30 0.5 20 2.0 50 10
Case 29-04 - TO-226AA (T0-92) - NPN
BF844 400 0.3 50 10 0.5 10 1.0 - - 1
MPSA44 400 0.3 40 100 0.75 50 5.0 - - 1
2N6517 350 0.5 30 30 0.3 10 1.0 40 10 1
BF393 300 0.5 40 10 0.2 20 2.0 50 10 1
MPSA42 300 0.5 40 10 0.5 20 2.0 50 10 1
2N5551 160 0.6 80 10 0.15 10 1.0 100 10 1

Case 29-04 - TO-226AA (TO-92) - PNP


BF493S 350 0.5 40 10 20 20 2.0 50 10 1
2N6520 350 0.5 30 30 0.3 10 1.0 40 10 1
MPSA92 300 0.5 40 10 0.5 20 2.0 50 10 1
2N6519 300 0.5 45 30 0.3 10 1.0 40 10 1
2N5401 150 0.6 60 10 0.2 10 1.0 100 10 1

Case 29-04 - TO-226AA (TO-92)


hFE@ IC VCE(sat) @ IC & IB IT@lc
V(BR)CEO IC
Volts Amp Volts MHz
NPN PNP Min Cont Min rnA Max rnA rnA Min rnA Style
BF420 BF421 300 0.5 50 25 2.0 20 2.0 60 10 14
BF422 BF423 250 0.5 50 25 2.0 20 2.0 60 10 14

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-5 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated Transistors (continued)

Table 6. Plastic-Encapsulated RF Transistors


The RF transistors are designed for small-signal amplification from RF to VHF/UHF frequencies. They are also used as
mixers and oscillators in the same frequency ranges.
hFE@IC
V(BR)CEO IC IT CRE/CRB NF
Device
Type
Volts
Min

Case 29-04 - TO-226AA (T0-92) - NPN


rnA
Max Min
I rnA I VCE
V
MHz
Typ
pF
Max
dB
Typ
f
MHz Style

BF224 30 50 30 7.0 10 600 0.28 2.5 100 21


MPSH24 30 50 30 8.0 10 400(2) 0.36 - - 2
MPSH20 30 100 25 4.0 10 400(2) 0.65 - - 2
MPSH07A(9) 30 25 20 3.0 10 400(2) 0.3 3.2(3) 100 1
MPS3866 30 400 10 50 5.0 500(2) - - - 1
MPSH11 25 - 60 4.0 10 650(2) 0.9 - - 2
MPSH10 25 - 60 4.0 10 650(2) 0.65 - - 2
BF199 25 100 40 7.0 10 750 0.35 2.5 35 21
BF959 20 100 40 20 10 600(2) 0.65 3.0 200 21
MPSH17 15 - 25 5.0 10 800(2) 0.9 6.0(3) 200 2
MPS918 15 50 20 8.0 10 600(2) 1.7 6.0(3) 60 1
MPS5179 12 50 25 3.0 1.0 2000(3) - 5.0(3) 200 1
MPS3563 12 50 20 8.0 10 800 1.7 6.0(3) 60 1
MPS6595 12 50 25 10 5.0 1200(2) 1.3 - - 1
Case 29-04 - TO-266AA (T0-92) - PNP

Table 7. Plastic-Encapsulated High-Speed Saturated Switching Transistors


ton & toff @ IC hFE@IC VCE(sat) @ IC & IB IT @ IC
Device
Type
ns
Max
I ns
Max
I rnA
V(BR)CEO
Volts
Min Min I rnA
Volts
Max
I I
rnA rnA
MHz
Min
I rnA Style
Case 29-04 - T0-226AA (TO-92) - NPN
2N4264 25 35 10 15 40 10 0.22 10 1.0 300 10 1
2N4265 25 35 10 12 100 10 0.22 10 1.0 300 10 1
MPS3646 18 28 300 15 30 30 0.2 30 3.0 350 30 1
MPS2369A 12 18 10 15 40 10 0.2 10 1.0 - - 1
Case 29-04 - T0-226AA (T0-92) - PNP
IMPS4258 I 15 I 20 I 10 I 12 30 50 0.15 10 1.0 700 10
(2) Min
(3) Max
(9) AGC Capable

Devices listed in bold, ijalic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-6 Motorola Master Selection Guide
Plastic-Encapsulated Transistors (continued)

Table 8. Plastic-Encapsulated Choppers


oeVlces
. are Isted'In decreaslng vI(BR)EBO.
hFE @ IC VCE(sat) @ IC & IB for@IC
V(BR)EBO IC

I I I I
Device Volts Arnp(1) Volts MHz
Type Min Max Min rnA Max rnA rnA Min rnA Style

Case 29-04 - TO-226AA (TQ-92) - NPN

Case 29-{)4 - TQ-266AA (TQ-92) - PNP


I
MPS404A I -25 I -150 I 30 -12 -0.2 -24 1.0

Table 9. Plastic-Encapsulated Telecom Transistors


These devices are special product ranges intended for use in telecom applications.
hFE@IC@VCE for
PDrnW IC
Device
Type
V(BR)CEO
Volts

Case 29-{)4 - TO-226AA (TQ-92) - NPN


25°C
Arnb
rnA
Cont Min I Max
I rnA I Volts
MHz
Min Style

P2N2222A
PBF259,S(10)

Case 29-04 - TQ-226AA (TQ-92) - PNP


P2N2907A
PBF493,S(11)
(1) Typical
= =
(1 0) "S" version, hFE Min 60 @ Ie 20 mA, VeE 10 v.
(ll)"S" version, hFE Min 40 @ Ie = 0.1 mA, veE = 1.0 V.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-7 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated
Multiple Transistors
The manufacturing trend has been toward printed circuit
board design with requirements for smaller packages with
more functions. In the case of discrete components the use of 1
the multiple device package helps to reduce board space CASE 646-06
requirements and assembly costs. (TO-116)
Many of the most popular devices are offered in the STYLE 1
standard plastic DIP and surface mount Ie packages. This
includes small-signal NPN and PNP bipolar transistors,
N-channel and P-channel FETs, as well as diode arrays.

CASE 751 B-05


50-16
STYLE 4

Specification Tables
The following short form specifications include Quad and Dual transistors listed in alphanumeric order. Some columns
denote two different types of data indicated by either bold or italic typeface. See key and headings for proper identification.
This applies to Table 10 and 11 of this section only.

KEY
Ret. Point <lVBE Gp NF @ f
Subscript Unit mV dB dB

One
Po
Watts
IC ". Cob
Max Min
VeE
(sat)
Max
I
~n ~ff
Die VCE Amp hFE @ IC MHz pF ns ns Volts
TYPE NO. 10 Only Volts Max Min I Min Max Max Max Max
Alphanumeric listing Common--emitter Gp - Power Gain
type numbers DC Currenl Gain. NF - Noise Figure
f - Tesl Frequency
Identification Code Unils for lesl Current: AUD -10-15 kHz
A - ampere Frequency Units:
First Letter: Polarity m - mA H-Hertz M-MHz
C - bolh types in mulliple device u -I'A K-kHz G-GHz
N - NPN
P - PNP VCE!sat) - Collector-Emitter
Second Letter: Use Saturation Voltage
A - General Purpose Amplifier Currenl-Gain-Bandwidlh Ie - Test Current
E - Low Noise Audio Amplifier Product Current Units: u - ~
F - Low Noise RF Amplifier m - mA
G - General Purpose Amplifier A - Amp
and Swilch
H - Tuned RF/IF Ampltlier hFEllhFE2 - Current Gain Ratio
M - Differenlial Amplifier Conlinuous (DC) Colleclor Currenl VBE - Differential Base Vollage IVBEI - VBE21.
S - High Speed Swilch Differential Amplifiers
D - Darlington bn - turn-on time
bff - lum-off time
Raled Minimum Collector-Emitter Vollage
Power Dissipation specified a125'C. Single Subscript letter identifies base termination
die rating. listed below in order of preference. Output Capacitance, common-base. Shown without distinction:
Ref. Point: A - AmbientTemperalure SUBSCRIPT: Ccb - Collector-Base Capacilance
C - Case Temperature o - VCEO. open ere - Common-Emitter Reverse Transfer Capacitance

Small Signal Transistors, FETs and Diodes 5.1-8 Motorola Master Selection Guide
Plastic-Encapsulated Multiple Transistors (continued)
Table 10. Plastic-Encapsulated Multiple Transistors - Quad
The following table is a listing of the most popular multiple devices available in the plastic DIP package. These devices are
available in NPN, PNP, and NPN/PNP configurations. (See note.)

hFE1 "'VBE Gp NF @ f

Po
--- mV
Max
dB
Min
dB
Max
hFE2
Watts Typ(1)
VCE
One IC IT Cob 0n toft (sat) @ IC
Die VCEO Amp hFE @ IC MHz pF ns ns Volts IC
Device 10 Only Volts Max Min I Min Max Max Max I-IB-
Max
Case 646-06 - TO-116
MPQ2222A NA 0.65 40 0.5 100 150m 200 8.0 35(1) 285(1) 0.3 10 150m
MPQ2369 NS 0.5 15 0.5 40 10m 450 4.0 9.0(1) 15(1) 0.25 10 10m
MPQ2483 NA 0.625 40 0.05 150 1.0m 50 3.0(1) AUD
MPQ2484 NA 0.625 40 0.05 300 1.0m 50 2.0(1) AUD
MPQ2907A PA 0.65 60 0.6 100 150m 200 8.0 45(1) 180(1) 0.4 10 150m
MPQ3467 PS 0.75 40 1.0 20 500m 125 25 40 90 0.5 10 500m
MPQ3725 NS 1.0 40 1.0 25 500m 250 10 35 60 0.45 10 500m
MPQ3762 PS 0.75 40 1.5 35 150m 150 15 50 120 0.55 10 500m
MPQ3798 PA 0.625 40 0.05 150 0.1 m 60 4.0 3.0(1) AUD
MPQ3799 PA 0.625 60 0.05 300 0.1 m 60 4.0 2.0(1) AUD
MPQ3904 NG 0.5 40 0.2 75 10m 250 4.0 37(1) 136(1) 0.2 10 10m
MPQ3906 PG 0.5 40 0.2 75 10m 200 4.5 43(1) 155(1) 0.25 10 10m
MPQ6001 CG 0.65 30 0.5 40 150m 200 8.0 30(1) 225(1) 0.4 10 150m
MPQ6002 CG 0.65 30 0.5 100 150m 200 8.0 30(1) 225(1) 0.4 10 150m
MPQ6100A CA 0.5 45 0.05 150 1.0 m 50 4.0 4.0(1) AUD
MPQ6426 NO 0.5 30 0.5 10K 100m 125 8.0 - - 1.5 10 100m
MPQ6501 CG 0.65 30 0.5 40 150m 200 8.0 30(1) 225(1) 0.4 10 150m
MPQ6502 CG 0.65 30 0.5 100 150m 200 8.0 30(1) 225(1) 0.4 10 150m
MPQ6600A1 CA 0.5 45 0.05 150 1.0 m 50 4.0 0.8 20 0.25 10 1.0 m
MPQ6700 CA 0.5 40 0.2 70 10m 200 4.5 0.25 10 1.0m
MPQ6842 CA 0.75 40 0.5 70 10m 300 4.5 45 150 0.15 10 0.5m
MPQ7043 NA 0.75 250 0.5 25 1.0m 50 5.0 0.5 10 20m
MPQ7042 NA 0.75 200 0.5 25 1.0m 50 5.0 0.5 10 20m
MPQ7051 CG 0.75 150 0.5 25 1.0m 50 6.0 0.7 10 20m
MPQ7093 PA 0.75 250 0.5 25 1.0m 50 5.0 0.5 10 20m

Table 11. Plastic-Encapsulated Multiple Transistors - Quad Surface Mount


The following table is a listing of the most popular multiple devices available in the plastic sOle surface mount package.
These devices are available in NPN, PNP, and NPN/PNP configurations.

Device
Case 7518-05 - SO-16
MMPQ2222A 40 75 40 500 200 20
MMPQ2369 15 40 20 100 450 10
MMPQ2907A 50 60 50 500 200 50
MMPQ3467 40 40 20 500 125 50
MMPQ3725 40 60 25 500 250 50
MMPQ3799 60 60 300 0.5 60 1.0
MMPQ3904 40 60 75 10 250 10
MMPQ3906 40 40 75 10 200 10
MMPQ6700(12) 40 40 70 10 200 10
(1) Typical
(12) NPN/PNP
NOTE: Some columns show 2 different types of data indicated by either bold or ifalictypefaces. See key and headings.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-9 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated
Surface Mount Transistors
This section of the selector guide lists the small-signal plastic
,~2 2
devices that are available for surface mount applications. CASE 311H18 . CASE 318D-03
These devices are encapsulated with the latest TO-236AB SC-59
state-of-the-art mold compounds that enhance reliability and

,- 1.
SOT-23
exhibit excellent performance in high temperature and high
humidity environments. This package offers higher power
dissipation capability for small-signal applications.

3 2
CASE 318E-04 CASE 419-02
SOT-223 SC-701S0T-323

Table 12. Plastic-Encapsulated Surface Mount General-Purpose Transistors


The following tables are a listing of small-signal general-purpose transistors in the SOT-23 and SC-59 surface mount
packages. These devices are intended for small-signal amplification for DC, audio, and lower RF frequencies. They also
have applications as oscillators and general-purpose, low voltage switches.
Pinout: 1-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending breakdown voltage.

tr
Device Marking V(BR)CEO Min Max rnA MHz Min

Case 318-08 - TO-236AB (SOT-23) - NPN


BC846ALT1 1A 65 110 220 2.0 100
BC846BLT1 1B 65 200 450 2.0 100
BC817-16LT1 6A 45 100 250 100 200
BC817-25LT1 6B 45 160 400 100 200
BC817-40LT1 6C 45 250 600 100 200
BC847ALT1 1E 45 110 220 2.0 100
BC847BLT1 1F 45 200 450 2.0 100
BC847CLT1 1G 45 420 800 2.0 100
MMBT2222ALT1 1P 40 100 300 150 200
MMBT3904LT1 1AM 40 100 300 10 200
MMBT4401LT1 2X 40 100 300 150 250
BC848ALT1 1J 30 110 220 2.0 100
BC848BLT1 1K 30 200 450 2.0 100
BC848CLT1 1L 30 420 800 2.0 100
Case 318-08 - T0-236AB (SOT-23) - PNP
MMBT8599LT1 2W 80 100 300 1.0 150
BC856ALT1 3A 65 125 250 2.0 100
BC856BLT1 3B 65 220 475 2.0 100
MMBT2907ALT1 2F 60 100 300 150 200
BC807-16LT1 5A 45 100 250 100 200

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-10 Motorola Master Selection Guide
Plastic-Encapsulated Surface Mount Transistors (continued)

Table 12. Plastic-Encapsulated Surface Mount General-Purpose Transistors (continued)


Pinout: 1-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending breakdown voltage.

IT
Device Marking V(BR)CEO Min Max mA MHz Min

Case 318-08 - TO-236AB (SOT-23) - PNP


BC807-25LT1 5B 45 160 400 100 200
BC807-40LT1 5C 45 250 600 100 200
BC857ALT1 3E 45 125 250 2.0 100
BC857BLT1 3F 45 220 475 2.0 100
MMBT3906LT1 2A 40 100 300 10 250
MMBT4403LT1 2T 40 100 300 150 200
BC858ALT1 3J 30 125 250 2.0 100
BC858BLT1 3K 30 220 475 2.0 100
BC858CLT1 3L 30 420 800 2.0 100
Case 3180-03 - SC-59 - NPN
MS0601-RT1 VR 25 210 340 2.0 150(1)
MSD601-ST1 VS 25 290 460 2.0 150(1)
MS0602-RT1 WR 25 120 240 150 200(1)
MSD1328-RT1 1DR 20 200 350 500 200(1)
Case 3180-03 - SC-59 - PNP
MSB709-RT1 AR 25 210 340 2.0 100(1)
MSB709-ST1 AS 25 290 460 2.0 100(1)
MSB71Q-QT1 CO 25 85 170 150 200(1)
MSB71O-RT1 CR 25 120 240 150 200(1)
Case 419-02 - SC-70/S0T-323 -NPN
BC846AWT1 1A 65 110 220 2.0 100
BC846BWT1 1B 65 200 450 2.0 100
BC847AWT1 1E 45 110 220 2.0 100
BC847BWT1 1F 45 200 450 2.0 100
BC847CWT1 1G 45 420 800 2.0 100
BC848AWT1 1J 30 110 220 2.0 100
BC848BWT1 1K 30 200 450 2.0 100
BC848CWT1 1L 30 420 800 2.0 100
MMBT3904WT1 AM 40 100 300 10 300
MSC393D-BT1 VB 20 70 140 1.0 150
MS01819A-RT1 ZR 50 210 340 2.0 -
Case 419-02 - SC-70/S0T-323 -PNP
BC856AWT1 3A 65 125 250 2.0 100
BC856BWT1 3B 65 220 475 2.0 100
BC857AWT1 3E 45 125 250 2.0 100
BC857BWT1 3F 45 220 475 2.0 100
BC858AWT1 3J 30 110 220 2.0 100
BC858BWT1 3K 30 200 450 2.0 100
BC858CWT1 3L 30 420 800 2.0 100
MMBT3906WT1 2A 40 100 300 10 250
MSB1218A-RT1 BR 45 210 340 2.0 -
(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-11 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated Surface Mount Transistors (continued)
C

~
(OUT)
B R1
Table 13. Plastic-Encapsulated Surface Mount Bias Resistor Transistors (IN)
for General Purpose Applications R2 E
Pinout: 1-Base, 2-EmiHer, 3-Collector (GND)

These devices include bias resistors on the semiconductor chip with the transistor. See the BRT diagram for orientation
of resistors.

Device Marking V(BR)CEO hFE@le IC


Volts mA R1 R2
NPN I PNP NPN I PNP (Min) Min I mA Max Ohm Ohm

Case 3180-03 - SC-59


MUN2211Tf MUN2111Tf 8A 6A SO 3S S.O 100 10K 10K
MUN2212T1 MUN2112Tf 8B 6B SO 60 S.O 100 22K 22K
MUN2213T1 MUN2113Tf 8C 6C SO BO S.O 100 47K 47K
MUN2214T1 MUN2114Tf 80 60 SO BO S.O 100 10K 47K
MUN2215Tf MUN2115Tf BE 6E SO 160 S.O 100 10K ~

MUN2216T1 MUN2116Tf BF 6F SO 160 5.0 100 4.7K ~

MUN2230T1 MUN2130Tf BG 6G SO 3.0 5.0 100 1.0K 1.0K


MUN2231Tf MUN2131Tf BH 6H SO B.O S.O 100 2.2K 2.2K
MUN2232T1 MUN2132T1 BJ 6J SO 1S S.O 100 4.7K 4.7K
MUN2233Tf MUN2133Tf BK 6K SO BO S.O 100 4.7K 47K
MUN2234T1 MUN2134T1 BL 6L SO BO S.O 100 22K 47K
Case 318-08 - T0-236AB (SOT-23)
MMUN2211LTf MMUN2111LTf A8A A6A SO 3S S.O 100 10K 10K
MMUN2212LTf MMUN2112LT1 ABB A6B SO 60 S.O 100 22K 22K
MMUN2213LTf MMUN2113LTf ABC A6C SO BO S.O 100 47K 47K
MMUN2214LTf MMUN2114LTf ABO A6D SO BO S.O 100 10K 47K
MMUN2215LTf MMUN2115LTf A8E A6E SO 160 S.O 100 10K ~

MMUN2216LTf MMUN2116LTf ABF A6F SO 160 S.O 100 4.7K ~

MMUN2230LTf MMUN2130LT1 ABG ASG SO 3.0 S.O 100 1.0K 1.0K


MMUN2231LTf MMUN2131LT1 ASH A6H SO B.O S.O 100 2.2K 2.2K
MMUN2232LTf MMUN2132LT1 ASJ A6J SO 1S S.O 100 4.7K 4.7K
MMUN2233LT1 MMUN2133LT1 ABK A6K SO BO S.O 100 4.7K 47K
MMUN2234LTf MMUN2134LTf ABL A6L SO 80 S.O 100 22K 47K
Case 419-02 - SC-70/S0T-323
MUN5211Tf MUN5111Tf BA 6A 50 3S 5.0 50 10K 10K
MUN5212Tf MUN5112Tf BB 6B SO 60 S.O SO 22K 22K
MUN5213Tf MUN5113Tf BC 6C SO BO S.O SO 47K 47K
MUN5214Tf MUN5114Tf BD 60 SO BO S.O SO 10K 47K
MUN5215Tf MUN5115Tf BE 6E SO 160 S.O SO 10K ~

MUN5216Tf MUN5116T1 BF 6F SO 160 S.O SO 4.7K ~

MUN5230T1 MUN5130Tf 8G 6G SO 3.0 S.O SO 1.0K 1.0K


MUN5231Tf MUN5131Tf BH 6H SO B.O S.O SO 2.2K 2.2K
MUN5232Tf MUN5132Tf 8J 6J SO 15 S.O SO 4.7K 4.7K
MUN5233T1 MUN5133Tf BK 6K SO BO S.O SO 4.7K 47K
MUN5234Tf MUN5134Tf BL 6L SO BO S.O SO 22K 47K

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes S.1-12 Motorola Master Selection Guide
Plastic-Encapsulated Surface Mount Transistors (continued)

Table 14. Plastic-Encapsulated Surface Mount Switching Transistors


The following tables are a listing of devices intended for high-speed, low saturation voltage, switching applications. These
devices have very fast switching times and low output capacitance for optimized switching performance.
Pinout: 1-Base, 2-Emitter, 3-Collector

Device
Case 318-08 - T0-236AB (SOT-23) - NPN
MMBT2369LTf M1J 12 18 15 20 - 100 -
MMBT2369ALTf lJA 12 18 15 20 - 100 -
BSV52LT1 B2 12 18 12 40 120 10 400
Case 318-08 - T0-236AB (SOT-23) - PNP
I
MMBT3640LTf I 2J
I 25 35 12 20 50 500
Pinout: 1-Emitter, 2-Base, 3-Collector
Case 3180-03 - Sc-59 - NPN
I
MSCI621Tl RB 20 40 20 40 180 1.0 200

Table 15. Plastic-Encapsulated Surface Mount VHF/UHF Amplifiers, Mixers, Oscillators


The following table is a listing of devices intended for small-signal RF amplifier applications to VHF/UHF frequencies. These
devices may also be used as VHF/UHF oscillators and mixers.
Pinout: 1-Base, 2-Emitter, 3-Collector

Cc b(13)
Device Marking V(BR)CEO pFMax GHzMin mA
Case 318-08 - T0-236AB (SOT-23) - NPN
MMBTH10LTf 3EM 25 0.7 0.65 4.0
MMBT918LT1 M3B 15 1.7(14) 0.6 4.0
MMBTH24LT1 M3A 30 0.45 0.4 8.0
Case 318-08 - TO-236AB (SOT-23) - PNP
MMBTH81LTf 3D 20 0.85 0.6 5.0
MMBTH69LTf M3J 15 0.35(13) 2.0 10
Pinout: 1-Emitter, 2-Base, 3-Collector
Case 3180-03 - Sc-59 - NPN
MSC229!H3Tf VB 20 1.5(13) 0.15 1.0
MSC2295-CTf VC 20 1.5(13) 0.15 1.0
MSC2404-CTf UC 20 1.0(13) 0.45 1.0
MSC3130T1 IS 10 - 1.4 5.0
Case 3180-03 - SC-59 - PNP
MSA1022-BTf EB 20 2.0(13) 0.15 1.0
MSA1022-CTf EC 20 2.0(13) 0.15 1.0
Case 419-02 - SC-70/S0T-323 - PNP
I
MSB81Tf J3D 20 0.85(13) 0.6 5.0
(13) Cre
(14) Cob

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-13 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated Surface Mount Transistors (continued)

Table 16. Plastic-Encapsulated Surface Mount Choppers


The following table is a listing of small-signal devices intended for chopper applications where a higher than normal
V(BR)CEO is required in the circuit application.
Pinout: l-Base, 2-Emitter, 3-Collector
hFE@ IC
Device Marking V(BR)CEO V(BR)EBO Min Max mA
Case 318-08 - T0-236AB (SOT-23) - PNP
IMMBT404ALT1 2N 35 25 30 400 12

Table 17. Plastic-Encapsulated Surface Mount Darlingtons


The following table is a listing of small-signal devices that have very high hFE and input impedance characteristics. These
devices utilize monolithic, cascade transistor construction.
Pinout: l-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending hFE.

VCE(sat) hFE @ IC
Device Marking Volts Max Min Max mA

MMBTA14LT1 1N 30 1.5 20K 100


MMBTA13LT1 1M 30 1.5 10K 100
Case 318-08 - TO-236AB (SOT-23) - PNP
IMMBTA64LT1 I 2V I 30 1.5 20K 100

Table 18. Plastic-Encapsulated Surface Mount Low-Noise Transistors


The following table is a listing of small-signal devices intended for low noise applications in the audio range. These devices
exhibit good linearity and are candidates for hi-fi and instrumentation equipment.
Pinout: l-Base, 2-Emitter, 3-Collector
Devices are listed in order of ascending NF.

MMBT5089LT1 1R 2.0(15) 25 400 - 10 50


MMBT2484LT1 1U 3.0(15) 60 - 800 10 -
MMBT6428LT1 1KM 3.0 50 250 - 10 100
MMBT6429LT1 1L 3.0 45 500 - 10 100
Case 318-08 - TO-236AB (SOT-23) - PNP
IMMBT5087LT1 I 2Q I 2.0(15) I 50 250 10 40
(15) Max

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-14 Motorola Master Selection Guide
Plastic-Encapsulated Surface Mount Transistors (continued)

Table 19. Plastic-Encapsulated Surface Mount High-Voltage Transistors


The following table is a listing of small-signal high-voltage devices designed for direct line operation requiring high voltage
breakdown and relatively low current capability.
Pinout: 1-Base, 2-Emitter, 3-Collector
Devices are listed in order of descending breakdown voltage.

IT
Device Marking V(BR)CEO Min Max rnA MHz Min
Case 318-08 - T0-236AB (SOT-23) - NPN
MMBT6517LT1 1Z 350 15 - 100 40
MMBTA42LT1 1D 300 40 - 30 50
MMBT5551LT1 G1 160 30 - 50 100
Case 318-08 - TO-236AB (SOT-23) - PNP
MMBT6520LT1 2Z 350 15 - 100 40
MMBTA92LT1 2D 300 25 - 30 50
MMBT5401LT1 2L 150 50 - 50 100

Table 20. Plastic-Encapsulated Surface Mount Drivers


The following is a listing of small-signal devices intended for medium voltage driver applications at fairly high current levels.
Pinout: 1-Base, 2-Emitter, 3-Collector

Device Marking V(BR)CEO


Case 318-08 - TO-236AB (SOT-23) - NPN
MMBTA06LT1 1GM 80
BSS64LT1 AM 80
Case 318-08 - TO-236AB (SOT-23) - PNP
BSS63LT1 T1 100
MMBTA56LT1 2GM 80

The following devices are designed to conserve energy. They offer ultra-low collector saturation voltage.
Case 318-08 - T0-236AB (SOT-23) - PNP
IMMBT1010LT1 I GLP I 15 0.1 1.1 300 600 100
Case 318-03 - SC-59 - PNP
IMSD1010T1 I GLP 15 0.1 1.1 300 600 100

Table 21. Plastic-Encapsulated Surface Mount General Purpose Amplifiers


Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector
hFE@IC
Device Marking V(BR)CEO Min Max rnA

Case 318E-D4 - SOT-223 - NPN


IBCP56T1 BH 80 40 250 150
Case 318E-04 - SOT-223 - PNP
Pinout: 1-Gate, 2-Drain, 3-Source, 4-Drain
I
BCP53T1 AH 80 40 25 150

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-15 Small Signal Transistors, FETs and Diodes
Plastic-Encapsulated Surface Mount Transistors (continued)

Table 22. Plastic-Encapsulated Surface Mount Switching Transistors


Pinout: 1-Base, 2-Collector, 3-Emltter, 4-Collector

Device

Case 318E-04 - SOT-223 - NPN


I
PZT2222AT1 P1F 35 285 40 100 300 20 300
Case 318E-04 - SOT-223 - PNP
I
PZT2907AT1 P2F 45 100 60 100 300 50 200

Table 23. Plastic-Encapsulated Surface Mount Darlingtons


Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

Device
Case 318E-04 - SOT-223 - NPN
BSP52T1
PZTA14T1

Case 318E-04 - SOT-223 - PNP


BSP62T1
PZTA64T1

Table 24. Plastic-Encapsulated Surface Mount High-Voltage Transistors


Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

Device
Case 318E-04 - SOT-223 - NPN
BSP19AT1 SP19A 350 40 - 20 70
PZTA42T1 P1D 300 40 - 10 50
BF720T1 BF720 250 50 - 10 60
BSP20AT1 SP20A 250 40 - 20 70
Case 318E-04 - SOT-223 - PNP
PZTA96T1 ZTA96 450 50 150 10 50
PZTA92T1 P2D 300 40 - 10 50
BSP16T1 BSP16 300 30 150 10 15
BF721T1 BF721 250 50 - 10 60
Table 25. Plastic-Encapsulated Surface Mount High Current Transistors
Pinout: 1-Base, 2-Collector, 3-Emitter, 4-Collector

Device
Case 318E-04 - SOT-223 - NPN
PZT651T1
BCP68T1

Case 318E-04 - SOT-223 - PNP


PZT751T1
BCP69T1

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-16 Motorola Master Selection Guide
Metal-Can
Transistors
Metal-can packages are intended for use in industrial
applications where harsh environmental conditions are
encountered. These packages enhance reliability of the end
products due to their resistance to varying humidity and
extreme temperature ranges. ,/ I 1
CASE 22-{)3
T0-206AA
(TO-1S)
STYLE 1
3 2
1
CASE 79-04
T0-205AD
(T0-39)
STYLE 1

Table 26. Metal-Can General-Purpose Transistors


These transistors are designed for DC to VHF amplifier applications, general-purpose switching applications, and
complementary circuitry. Devices are listed in decreasing order of V(BR)CEO within each package group.
for @ IC hFE@IC
V(BR)CEO IC
Device Volts MHz mA
Type Min
Case 22-03 - TO-206AA (TO-18) - NPN
Min
I mA Max Min
I Max
I mA

2N3700 80 80 50 1000 50 - 500


SC107 45 150 10 200 110 450 2.0
SC107S 45 150 10 200 200 450 2.0
2N2222A 40 300 20 800 100 300 150
SC109C 25 150 10 200 420 800 2.0
Case 22-03 - T0-206AA (TO-18) - PNP
2N2906A 60 200 50 600 40 120 150
2N2907A 60 200 50 600 100 300 150
2N3251A 60 300 10 200 100 300 10
SC177S 45 200 10 200 180 460 2.0
Case 79-04 - T0-205AD (T0-39) - NPN
2N3019 80 100 50 1000 100 300 150
2N3020 80 80 50 1000 40 120 150
2N1893 80 50 50 500 40 120 150
2N2219A 40 300 20 800 100 300 150
Case 79-04 - T0-205AD (T0-39) - PNP
2N4033 80 - - 1000 25 - 1000
2N4036 65 60 50 1000 40 140 150
2N2904A 60 200 50 600 40 120 150
2N2905A 60 200 50 600 100 300 150
2N4032 60 - - 1000 40 - 1000

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-17 Small Signal Transistors, FETs and Diodes
Metal-Can Transistors (continued)

Table 27. Metal-Can High-GainiLow-Nolse Transistors


These transistors are characterized for high-gain and low-noise applications. Devices are listed in decreasing order of NF.
NF hFE@IC for@IC
Wideband V(BR)CEO IC
Device
Type
dB
TypMax
Case 22-03 - TO-206AA (TQ-18) - NPN
Volts
Min
mA
Max Min I Max I !lA
mA
MHz
Min I mA

2N2484 8.0(1) 60 50 100 500 10 15 0.05


2N930A 3.0 45 30 - 600 10 45 0.5
2N930 3.0 45 30 - 600 10 30 0.5
Case 22-03 - TQ-206AA (TO-18) - PNP

Table 28. Metal-Can High-VoltagelHlgh-Current Transistors


The following table lists Motorola standard devices that have high collector-emitter breakdown voltage. Devices are listed in
decreasing order of V(BR)CEO within each package type.
hFE @IC VCE(sat) @ IC & IB for@IC
V(BR)CEO IC
Device
Type
Volts
Min
Case 22-03 - TQ-206AA (TO-18) - NPN
mA
Max Min
I mA
Volts
Max
I mA
I mA
MHz
Min
I mA

Case 22-03 - TQ-206AA (TQ-18) - PNP


IBSS76 300 500 35 30 0.5 50 5.0 50 20
Case 79-04 - TO-20SAD (TQ-39) - PNP
12N3637 I 175 1000 100 50 0.5 50 5.0 200 30
(1) Typical
(24) TA = 25°C

Table 29. Metal-Can Switching Transistors


The following devices are intended for use in general-purpose switching and amplifier applications. Within each package
group shown, the devices are listed in order of decreasing turn-on time (ton).

ton & toff @ IC hFE @IC VCE(sat) @ IC @ IB


Device
Type
ns
Max
I Ins
Max mA
V(BR)CEO
Volts
Min
IC
mA
Max Min I mA
VoHs
Max
I I mA mA
for
MHz
Min
IC
mA

Case 22-03 - TQ-206AA (TQ-18) - NPN

Case 79-04 - TQ-20SAD (TQ-39) - PNP


12N3467 40 90 500 40 1000 40 500 0.5 500 50 175 50

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-18 Motorola Master Selection Guide
Field-Effect Transistors
JFETs
JFETs operate in the depletion mode. They are available in
both P- and N-channel and are offered in both Through-hole CASE 29-04
and Surface Mount packages. Applications include general- To-226AA
purpose amplifiers, switches and choppers, and RF amplifiers (T0-92)
and mixers. These devices are economical and very
rugged. The drain and source are interchangeable on many
typical FETs.

Table 30. JFET Low-Frequency/Low-Noise


The following table is a listing of small-signal JFETs intended for low-noise applications in the audio range. These devices
exhibit good linearity and are candidates for hi-fi and instrumentation equipment.

VGS(off) lOSS
Re IYfsl @f RelYosl@f V(BR)GSS Volts rnA

Device
mmho
Min
I kHz
~mho
Max
I kHz
Ciss
pF
Max
Crss
pF
Max
V(BR)GOO
Volts
Min Min I Max Min I Max Style
Case 29-04 - To-226AA (TO-92) - N-Channel
J202 - - - - - - 40 0.8 4.0 0.9 4.5 5
2N5458 1.5 1.0 50 1.0 7.0 3.0 25 1.0 7.0 2.0 9.0 5
MPF3821 1.5 1.0 10 1.0 6.0 3.0 50 - 4.0 0.5 2.5 5
2N5457 1.0 1.0 50 1.0 7.0 3.0 25 0.5 6.0 1.0 5.0 5
2N5459 2.0 1.0 50 1.0 7.0 3.0 25 2.0 8.0 4.0 16 5
Case 29-04 - TO-226AA (T0-92) - P-Channel
2N5460 1.0 1.0 75 1.0 7.0 2.0 40 0.75 6.0 1.0 5.0 7
2N5461 1.5 1.0 75 1.0 7.0 2.0 40 1.0 7.5 2.0 9.0 7
2N5462 2.0 1.0 75 1.0 7.0 2.0 40 1.8 9.0 4.0 16 7

. Table 31. JFET High-Frequency Amplifiers


The following is a listing of small-signal JFETs that are intended for hi-frequency applications. These are candidates for
VHF/UHF oscillators, mixers and front-end amplifiers.

VGS(off) lOSS
Re IYfsl@f RelYosl@f NF@RG=1K V(BR)GSS Volts rnA

Device
mmho
Min
I MHz
~mho
Max
I MHz
Ciss
pF
Max
Crss
pF
Max
dB
Max
I f
MHz
V(BR)GOO
Volts
Min Min I Max Min IMax Style
Case 29-04 - TO-226AA (T0-92) - N-Channel
MPF102 1.6 100 200 100 7.0 3.0 - - 25 - 8.0 2.0 20 5
2N5668 1.0 100 50 100 7.0 3.0 2.5 100 25 0.2 4.0 1.0 5.0 5
2N5484 2.5 100 75 100 5.0 1.0 3.0 100 25 0.3 3.0 1.0 5.0 5
2N5485 3.0 400 100 400 5.0 1.0 4.0 400 25 0.5 4.0 4.0 10 5
2N5486 3.5 400 100 400 5.0 1.0 4.0 400 25 2.0 6.0 8.0 20 5
J308 12(1) 100 250(1) 100 7.5 2.5 1.5(1) 100 25 1.0 6.5 12 60 5
J309 12(1) 100 250(1) 100 7.5 2.5 1.5(1) 100 25 1.0 4.0 12 30 5
J310 12(1) 100 250(1) 100 7.5 2.5 1.5(1) 100 25 2.0 6.5 24 60 5
(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-19 Small Signal Transistors, FETs and Diodes
JFETs (continued)

Table 32. JFET Switches and Choppers


The following is a listing of JFETs intended for switching and chopper applications.

VGS(off) lOSS
ROS(on)@IO Volts mA V(BR)GSS
V(BR)GOO Clss C rss ton toff
Oevlce :ax I mA Min I Max Min I Max
Volts
Min
pF
Max
pF
Max
ns
Max
ns
Max Style

Case 2~ - TQ-226AA (TQ-92) - N-Channel


MPF4856 25 - 4.0 10 50 - 40 18 8.0 9.0 25 5
MPF48S9 25 - 4.0 10 50 - 30 18 8.0 9.0 25 5
Jlll 30 - 3.0 10 20 - 35 28 5.0 - - 5
MPF48S7 40 - 2.0 6.0 20 100 40 18 8.0 10 50 5
MPF4860 40 - 2.0 6.0 20 100 30 18 8.0 10 50 5
Jl12 50 - 1.0 5.0 5.0 - 35 28 5.0 - - 5
MPF4392 60 - - - 25 75 30 10 3.5 15 35 5
2N5639 60 1.0 - (8.0)(1) 25 - 30 10 4.0 - - 5
MPF4861 60 - 0.8 4.0 8.0 80 30 18 8.0 20 100 5
MPF4393 100 - - (12)(1) 5.0 30 30 10 3.5 15 55 5
2N5640 100 1.0 - (6.0)(1) 5.0 - 30 10 4.0 18 45 5
J113 100 - 0.5 3.0 2.0 - 35 28 5.0 - - 5
2N5555 150 - - 1.0(16) 15 - 25 5.0 1.2 10 25 5
BF246A 35(1) 1.0 0.6 14 30 80 25 - - - - 22
BF246B 50(1) 1.0 0.6 14 60 140 25 - - - - 22
Jl10 18 - 0.5 4.0 10 - 25 - - - - 5
Case 29-04 - TQ-226AA (TQ-92) - P-Channel

(1) Typical
(16) VOS(f)

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-20 Motorola Master Selection Guide
,r TMOS TMOS FETs
CASE 29-(15
TO-226AE
l-WATT (T0-92)

D CASE2!Hl4
TO-226AA
(TQ-92)
"2 3

Table 33. TMOS Switches and Choppers


The following is a listing of small-signal TMOS devices that are intended for switching and chopper applications. These
devices offer low RDS(on) characteristics.

VGS(th)
ROS(on)@ 10 Volts
V(BR)OSS Ciss Crss ton toff
g

I I Volts pF pF ns ns
Device Max A Min Max Min Max Max Max Max Style
Case 29-05 - T0-226AE (1-WATT T0-92) - N-Channel
MPF930 1.4 1.0 1.0 3.5 35 70(1) 20(1) 15 15 22
MPF960 1.7 1.0 1.0 3.5 60 70(1) 20(1) 15 15 22
MPF6659 1.B 1.0 O.B 2.0 35 30(1) 4(1) 5.0 5.0 22
MPF990 2.0 1.0 1.0 3.5 90 70(1) 20(1) 15 15 22
MPF6660 3.0 1.0 O.B 2.0 60 30(1) 4(1) 5.0 5.0 22
MPF6661 4.0 1.0 O.B 2.0 90 30(1) 4(1) 5.0 5.0 22
MPF910 5.0 0.5 0.3 2.5 60 - - - - 22
VN10LM 5.0 0.5 O.B 2.5 60 60 5.0 10 10 22
Case 29-04 - T0-226AA (T0-92) - N-Channel
VN0300L 1.2 1.0 O.B 2.5 60 100 25 30 30 22
2N7000 5.0 0.5 0.8 3.0 60 60 5.0 10 10 22
B5170 5.0 0.2 0.8 3.0 60 25(1) 3.0(1) 10 10 30
VN0610LL 5.0 0.5 0.8 2.5 60 60 5.0 10 10 22
VN1706L 6.0 0.5 0.8 2.0 170 125 20 8.0 18 22
VN2406L 6.0 0.5 0.8 2.0 240 125 20 8.0 23 22
BSS89 6.0 0.30 1.0 2.7 200 72(1) 3.0(1) 6.0(1) 12(1) 7
B5107A 6.4 0.25 1.0 3.0 200 60(1) 6.0(1) 15 15 30
2N70OB 7.5 0.5 1.0 2.5 60 50 5.0 20 20 22
VN2222LL 7.5 0.5 0.6 2.5 60 60 5.0 10 10 22
VN2410L 10 0.5 0.8 2.0 240 125 20 8.0 23 22
BS107 14 0.2 1.0 3.0 200 60(1) 6.0(1) 15 15 30
(1) Typical

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-21 Small Signal Transistors, FETs and Diodes
Surface Mount FETs CASE 311H18
TQ-236AB
This section contains the FET plastic packages available for SOT-23
surface mount applications. Most of these devices are the
most popular metal-can and insertion type parts carried over
to the new surface mount packages.

CASE 318E-Il4
SOT-223
3

Table 34. Surface Mount RF JFETs


The following is a list of surface mount FETs which are intended for VHF/UHF RF amplifier applications.
Pinout: 1-Drain, 2-Source, 3-Gate
NF Vfs@VOS

Device Marking
Case 318-08 - T0-236AB (SOT-23) - N-Channel
dB
Typ
I f
MHz
mmhos
Min
I mmhos
Max I Volts V(BR)GSS Style

MMBFJ309LTf 6U 1.5 450 10 20 10 25 10


MMBFJ310LTf 6T 1.5 450 8.0 18 10 25 10
MMBFU310LTf M6C 1.5 450 10 18 10 25 10
MMBF4416LTf M6A 2(3) 100 4.5 7.5 15 30 10
MMBF5484LTf M6B 2.0 100 3.0 6.0 15 25 10
MMBF5486LT1 6H 2.0 100 4.0 8.0 15 25 10
(3) Max

Table 35. Surface Mount General-Purpose JFETs


The following table is a listing of surface mount small-signal general purpose FETs. These devices are intended for
small-signal amplification for DC, audio, and lower RF frequencies. They also have applications as oscillators and
general-purpose, low-voltage switches.
Pinout: 1-Drain, 2-Source, 3-Gate
Vfs@VOS lOSS

Device Marking
Case 318-08 - T0-236AB (SOT-23) - N-Channel
V(BR)GSS
mmhos
Min
I
mmhos
Max
I Volts
mA
Min
I
mA
Max Style

MMBF5457LTf
MMBF5459LT1

Case 318-08 - T0-236AB (SOT-23) - P-Channel


IMMBF5460LTf I M6E I 40 I 1.0 4.0 15 1.0 5.0 10
(3) Max

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-22 Motorola Master Selection Guide
Surface Mount FETs (continued)

Table 36. Surface Mount Choppers/Switches JFETs


The following is a listing of small-signal surface mount JFET devices intended for switching and chopper applications.
Pinout: 1-Drain, 2-Source, 3-Gate

VGS(off) lOSS
ROS(on) toff

Device Marking
Case 318-08 - TO-236AB (SOT-23) - N-Channel
Ohms
Max
ns
Max V(BR)GSS
Volts
Min
I Volts
Max
mA
Min
I mA
Max Style

MMBF4856LT1 AAA 25 25 40 -4.0 -10 50 - 10


MMBF4391LT1 6J 30 20 30 -4.0 -10 50 150 10
MMBF4860LT1 6F 40 50 30 -2.0 -6.0 20 100 10
MMBF4392LT1 6K 60 35 30 -2.0 -5.0 25 75 10
MMBF4393LT1 6G 100 50 30 -<l.5 -3.0 5.0 30 10
Case 318-08 - T0-236AB (SOT-23) - P-Channel
MMBFJ175LT1
MMBFJ177LT1

Table 37. TMOS FETs


The following is a listing of small-signal surface mount TMOS FETs which exhibit low RDS(on) characteristics.
Pinout: 1-Gate, 2-Source, 3-Drain
ROS(on)@ 10 VGS(th) Switching Time

Device Marking Ohm I mA VOSS


Volts
Min
I
Volts
Max ton ns I toff ns Style
Case 318-08 - T0-236AB (SOT-23) - N-Channel
MMBFI70LT1 6Z 5.0 200 60 0.8 3.0 10 10 21
BSS123LT1 SA 6.0 100 100 0.8 2.8 20 40 21
2N7002LT1 702 7.5 500 60 1.0 2.5 20 20 21
MMBF0201NLT1 Nl 1.0 300 20 1.0 2.4 2.5 15 21
Case 318-08 - T0-236 (SOT-23) - P-Channel
I
MMBF0202PLT1 P3 1.4 200 20 1.0 2.4 2.5 16 21
Pinout: 1-Gate, 2-Drain, 3-Source, 4-Drain

ROS(on) VGS(th) Switching Time

Device Marking Ohm I mA VOSS


Volts
Min
I
Volts
Max ton ns I toff ns Style
Case 318E-04- SOT-223 - N-Channel
MMFT960T1 FT960 1.7 1000 60 1.0 3.5 15 15 3
MMFT6661T1 T6661 4.0 1000 90 0.8 2.0 5.0 5.0 3
MMFT2406T1 T2406 10 200 240 0.8 2.0 - - 3
MMFT107T1 FTl07 14 200 200 1.0 3.0 15 15 3

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-23 Small Signal Transistors, FETs and Diodes
Tuning and Switching Diodes
CASE 29-04
T0-226AA
1~!~3
Tuning Diodes - (T0-92)
2
Abrupt Junction STYLE 15
Motorola supplies voltage-variable capacitance diodes serving
the entire range of frequencies from HF through UHF. Used in RF CASE51~2 1---114-2
receivers and transmitters, they have a variety of applications, 0O-204AA
STYLE 1
including: (00-7)
• Phase-locked loop tuning systems
• Local oscillator tuning
• Tuned RF preselectors CASE 182-02 2 0---11+--0 1
• RF filters TO-226AC Cathode Anode
• RF phase shifters (T0-92) STYLE 1
• RF amplifiers
• Automatic frequency control 3 0---11+--0 1
• Video filters and delay lines Cathode Anode
• Harmonic generators CASE31~8 STYLEB

1~
• FM modulators T0-236AB
Two families of devices are available: Abrupt Junction and Hyper SOT-23 1~~~2
Abrupt Junction. The Abrupt Junction family includes devices
3 STYLE 9
suitable for virtually all tuned--circuit and narrow-range tuning 2
applications throughout the spectrum.

Typical Characteristics
Diode Capacitance versus Reverse Voltage
100
70 10oom~.m!.mfimmm
(L N5450A 1N5452A 1N5456A
S, 50
w
0
z 30 i"'-... l
1N 148,A MV1638 MV1642 MV1650

~
B 20
1N514I.A'r--
it (See Tables 38 Thru 40)
('§
w
Cl 10
r-.... N
Q N5140,A
Cl 7
0
..= 5 I- TA = 25°C .....
1-1=1 MHz

2 1 1111
0.6 1 2 4 6 10 20 40 60 0.1 1 10 100
VR, REVERSE VOLTAGE (VOLTS) VR, REVERSE VOLTAGE (VOLTS)
1000
100
(L - MV21 09 (L
s, - MMBV2109LT1 MV21j5 t-.
~ 70
~ I""-
~ ::::--...
~ 100
B E~ ~
~ 40
I": t--....
it
('§
w
('§
w
M 104G' ~t--. MBV432l 1-
Cl Cl
~ 10 o ~104
i5 20
..=
o ~ 210
MMBV2101,~;r1
2 0 :-:,:
MMBV2105LT1
(j
r- 1=1 MHz
A= 5°
" ~ ........;
~
EACH DIODE
1 1111 III
0.1 1 10 100 100.3 0.5 1 2 3 5 10 20 30
VR, REVERSE VOLTAGE (VOLTS) VR, REVERSE VOLTAGE (VOLTS)
(See Tables 41 and 42) (See Table 43)

Small Signal Transistors, FETs and Diodes 5.1-24 Motorola Master Selection Guide
Tuning Diodes - Abrupt Junction (continued)

Table 38. General-Purpose Glass Abrupt Tuning Diodes


High Q Capacitance Ratio @ 4.0 VoltS/60 Volts
The following is a listing of axial leaded, general-purpose, abrupt tuning diodes. These devices exhibit high Q characteristics.
CT@ VR =4.0 V, 1.0 MHz Cap Ratio Q

Case 51-02 -
Device(19)

DO-204AA (00-7)
pF
Min
I pF
Nominal
I pF
Max
V(BR)R
Volts
C4IC60
Min
4.0 V, 50 MHz
Min

1N5139 6.1 6.8 7.5 60 2.7 350


1N5140 9.0 10 11 60 2.8 300
1N5143 16.2 18 19.8 60 2.8 250
1N5144 19.8 22 24.2 60 3.2 200
1N5145 24.3 27 29.7 60 3.2 200
1N5148 42.3 47 51.7 60 3.2 200

Table 39. General-Purpose Glass Abrupt Tuning Diodes


High Q Capacitance Ratio @ 2.0 VoltS/30 Volts
The following is a listing of axial leaded, general-purpose, abrupt tuning diodes. These devices exhibit very high Q
characteristics.
CT@ VR = 4.0 V, 1.0 MHz
Cap Ratio Q

I I
pF pF pF VR(BR)R C2IC30 4.0 V, 50 MHz
Device(20) Min Nominal Max Volts Min Min

Case 51-02 - D0-204AA (00-7)


1N5441A 6.1 6.8 7.5 30 2.5 450
1N5444A 10.8 12 13.2 30 2.6 400
1N5446A 16.2 18 19.8 30 2.6 350
1N5448A 19.8 22 24.2 30 2.6 350
1N5449A 24.3 27 29.7 30 2.6 350
1N5450A 29.7 33 36.3 30 2.6 350
1 N5451 A 35.1 39 42.9 30 2.6 300
1N5452A 42.3 47 51.7 30 2.6 250
1N5453A 50.4 56 61.6 30 2.6 200
1N5455A 73.8 82 90.2 30 2.7 175
1N5456A 90 100 110 30 2.7 175
(19)Sufflx A = 5.0%
(20)Suffix 8 = 5.0%

Motorola Master Selection Guide 5.1-25 Small Signal Transistors, FETs and Diodes
Tuning Diodes - Abrupt Junction (continued)

Table 40. General-Purpose Glass Abrupt Tuning Diodes


Capacitance Ratio @ 2.0 Voltsl20 Volts
The following is a listing of axial leaded, general-purpose, abrupt tuning diodes. These devices exhibit high Q characteristics.
~ @VR=4.0V,1.0MHz
Cap Ratio Q

I I
pF pF pF V(BR)R C2IC20 4.0V,50MHz
Device Min Nominal Max Volts Min Typ
Case 51-02 - D0-204AA (DO-7)
MV1620 6.1 6.8 7.5 20 2.0 300
MV1624 9.0 10 11 20 2.0 300
MV1626 10.8 12 13.2 20 2.0 300
MV1628 13.5 15 16.5 20 2.0 250
MV1630 16.2 18 19.8 20 2.0 250
MV1634 19.8 22 24.2 20 2.0 250
MV1636 24.3 27 29.7 20 2.0 200
MV1638 29.7 33 36.3 20 2.0 200
MV1640 35.1 39 42.9 20 2.0 200
MV1642 42.3 47 51.7 20 2.0 200
MV1644 50.4 56 61.6 20 2.0 150
MV1648 73.8 82 90.2 20 2.0 150
MV1650 90 100 110 20 2.0 150

Table 41. General-Purpose Plastic Abrupt Tuning Diodes


Capacitance Ratio @ 2.0 Voltsl30 Volts
The following is a listing of plastic package, general-purpose, abrupt tuning diodes. These devices exhibit high Q
characteristics.
~ @ VR = 4.0 V, 1.0 MHz
Cap Ratio Q

Device
Case 182-02 - T0-226AC (T0-92) -
pF
Min

2-Lead
I pF
Nominal I pF
Max
VR(BR)R
Volts
C4IC30
Min
4.0V,50 MHz
Typ

MV2101 6.1 6.8 7.5 30 2.5 400


MV21 03 9.0 10 11 30 2.5 350
MV2104 10.8 12 13.2 30 2.5 350
MV21 05 13.5 15 16.5 30 2.5 350
MV21 07 19.8 22 24.2 30 2.5 300
MV2108 24.3 27 29.7 30 2.5 250
MV2109 29.7 33 36.3 30 2.5 200
MV2111 42.3 47 51.7 30 2.5 150
MV2113 61.2 68 74.8 30 2.5 150
MV2114 73.8 82 90.2 30 2.5 100
MV2115 90 100 110 30 2.6 100

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-26 Motorola Master Selection Guide
Tuning Diodes - Abrupt Junction (continued)

Table 42. Surface Mount Abrupt Tuning Diodes


Capacitance Ratio @ 2.0 Volts/30 Volts
The following is a listing of surface mount abrupt junction tuning diodes intended for general-purpose variable capacitance
circuit applications.

CT@ VR =4.0 V, 1.0 MHz Cap Ratio Q


pF pF pF C2IC30 4.0 V, 50 MHz

I I
VR(BR)R
Device Min Nominal Max Volts Min Typ
Case 318-08 - DO-236AB (SOT-23)

MMBV2101LT1 6.1 6.8 7.5 30 2.5 400


MMBV2103LTl 9.0 10 11 30 2.5 350
MMBV2104LTl 10.8 12 13.2 30 2.5 350
MMBV2105LT1 13.5 15 16.5 30 2.5 350
MMBV2107LTl 19.8 22 24.2 30 2.5 300
MMBV2108LTl 24.3 27 29.7 30 2.5 250
MMBV2109LT1 29.7 33 36.3 30 2.5 200

Table 43. Abrupt Tuning Diodes for FM Radio - Dual


The following is a listing of abrupt tuning diodes that are available as dual units in a single package.
~@VR(22)
Cap Ratio Q

Device
Case 29-04 -
pF
Min
TQ-226AA (TO-92)
I pF
Max I Volts
C3IC30
Min
3.0 V, 50 MHz
Min
V(BR)R
Volts
Device
Marking Style

I
MV104 37 42 3.0 2.5 100 32 15
Case 318-08 - TQ-236AB (SOT-23)

I
MMBV432LT1 43 48.1 2.0 1.5(21) 100 14 M4B 9
(21)C2IC8
(22)Each Diode

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-27 Small Signal Transistors, FETs and Diodes
Tuning Diodes -
2
Hyper-Abrupt Junction
CASE 51-02
The Hyper-Abrupt family exhibits higher capacitance, and a DO-204AA Cathode Anode
much larger capacitance ratio. It is particularly well suited for (DO-7) STYLE 1
wider-range applications such as AMlFM radio and TVtuning.

'/
CASE 182-02 2 0--114---0 1
TO-226AC Anode Cathode
(TQ-92) STYLE 1

CASE 318-08 3 0--114---0 1


T0-236AB Cathode Anode
SOT-23 STYLE 8

1
~
~ CASE 318E-04
SOT-223
1 <>--+11---0 2,4

3 STYLE 2

Typical Characteristics
Diode Capacitance versus Reverse Voltage

20 40
18 to-.. I I 36
~ 16
I I 32
IMMIBV\O~G~~11 I I I
~ 14
z
~ 12 " ~
.......
~
w
()
z 24
28
"'\ MM8Vl09LTl
MV209

~ 1~ TA = 25°C .....
~ 20
[5
ct. 16
w «
8

-
6 f= 1 MHz ()

II I I
,.:. 12
Ci 4 8
-
()
,.:.
() 2 II i'
"""
o II
0.3 0.5 2 3 5 10 20 30 3 10 30 100
VR, REVERSE VOLTAGE (VOLTS) VR, REVERSE VOLTAGE (VOLTS)

Figure 1. Diode Capacitance Figure 2. Diode Capacitance

Small Signal Transistors, FETs and Diodes 5.1-28 Motorola Master Selection Guide
Tuning Diodes - Hyper-Abrupt Junction (continued)

40 10
9
~ 32 \.
~
UJ
a " w
a
z
oi" 24 " i\
MMBV409LT1
MV409
z
i"
o
i'..
.......
if if MMBV809LT1
(§ 16
w
o
«
a
w
o
4
I".....
....... ,
-
a ......... a 3
o o i'--
f- f-
a a
1
o o0.5
1 10 20 4 5 8 10 15
VR, REVERSE VOLTAGE (VOLTS) VR, REVERSE VOLTAGE (VOLTS)

Figure 3. Diode Capacitance Figure 4. Diode Capacitance

40 50
36
r-- I II
~ 32
I II ~ 40
r-....
I I I I III ........
w
a

o~
28
24
........
...... , MMBV3102LT1
w
a
z
i" 30
............ MMBV609LT1
f~1 MHz-

if
«
20
......... 0
if r--....
«
~
8
o
16
12 TA ~ 25°C
a
w
0
a
20
" ......
8 f~ 1 MHz r--..... 0
,.: 10
f- ....... l- i- .......
a
4 I I I I a

o o1
0.3 0.5 1 3 5 10 20 30 7 10 20 30 40
VR, REVERSE VOLTAGE (VOLTS) VR, REVERSE VOLTAGE (VOLTS)

Figure 5. Diode Capacitance Figure 6. Diode Capacitance


Each Die

MVAM10S MVAM109/MV7005T1
1000 1000

500 500
...... .......
u::-
s .......... ~ ..........
w
a
z
i"
..........
~
UJ
az I'....
,
oi"
100 100
~ if
(§ 50 ....... (§ 50
f- f-
a a

10 10
4 3 7
VR, REVERSE VOLTAGE (VOLTS) VR, REVERSE VOLTAGE (VOLTS)

Figure 7. Capacitance versus Reverse Voltage Figure S. Capacitance versus Reverse Voltage

Motorola Master Selection Guide 5.1-29 Small Signal Transistors, FETs and Diodes
Tuning Diodes - Hyper-Abrupt Junction (continued)

MVAM115 MVAM125
1000 1000

500 500
u:::- ....... u:::-
.s
w
~
.......
, .s
w
~
"' "-
~loo ~ 100 ~
o i3
cf
«
cf
<-:f- 50 <3,.:. 50
o ....... I- o r- r-
10 10
2 6 10 14 18 2 6 10 14 18 22 26
VR. REVERSE VOLTAGE (VOLTS) VR. REVERSE VOLTAGE (VOLTS)

Figure g. Capacitance versus Reverse Voltage Figure 10. Capacitance versus Reverse Voltage

u:::- 3O0
.s
500

200
~ ......
.....
TA-25°C
1=1 MHz _
=
~
c........

....... ........ J'..


~ 100
i3
cf 1= 1= MVl405
<3 50 t--
w
~ 30 t - - r- MVl403 / '"I" r-...r-.., ..... r-.. ..... r-..,
Cl 20 / 1/ r-.. r-.. r-..
,.:.
o 10 t - - r- MVl404 I r-.: r::--
MV7404Tl
2 3 4 5 6 7 8 10
VR. REVERSE VOLTAGE (VOLTS)

Figure 11. Diode Capacitance versus Reverse Voltage

Table 44. Hyper-Abrupt Tuning Diodes for Telecommunications - Single


The following is a listing of hyper-abrupt tuning diodes intended for high frequency. FM radio. and TV tuner applications.
Or @ VR (f =1.0 MHz) Cap Ratio @ VR Q
CV

Device
pF
Min
I I pF
Max Volts Min J I Max Volts
3.0V 150 MHz
Min Max
V(BR)R
Volts
Device
Marking
Case
Style
Curve
Fig
Case 182-02 - TQ-226AC (TQ-92)
MV209
MV409

MMBV105GLT1 1.5 2.8 25 4.0 6.5 3125 200 - 30 M4E 8 1


MMBV109LTf 26 32 3.0 5.0 6.5 3/25 200 - 30 M4A 8 2
MMBV409LT1 26 32 3.0 1.5 1.9 318 200 - 20 X5 8 3
MMBV809LT1 4.5 6.1 2.0 1.8 2.6 2/8 300 - 20 5K 8 4
MMBV3102LTl 20 25 3.0 4.5 - 3/25 200 - 30 M4C 8 5

Case 419-02 - SC-70/S0T-323


IMBV109T1 I 26 I 32 3.0 5.0 6.5 3/25 200 30 M4A 8

Devices listed in bold. italic are Motorola preferred devices.

Small Signal Transistors. FETs and Diodes 5.1-30 Motorola Master Selection Guide
Tuning Diodes - Hyper-Abrupt Junction (continued)

Table 45. Hyper-Abrupt Tuning Diodes for Communications - Dual


CT @ VR (f =1.0 MHz) Cap Ratio @ VR Q

Device
pF
Min
I I
pF
Max Volts Min I I
Max Volls
3.0V
Min
150MHZ
Max
V(BR)R
Volts
Device
Marking
Case
Style
CV
Curve
Fig

Case 318-08 - TO-236AB (SOT-23)


IMMBV609L-r1 26 32 3.0 1.8 2.4 3/8 250 20 5L 9 6

Table 46. Hyper-Abrupt Tuning Diodes for Low Frequency Applications - Single
The following is a listing of AM, hyper-abrupt tuning diodes that have a large capacity range and are designed for low
frequency circuit applications.
CT@ 1.0 MHz Cap Ratio@VR
CV

Device

. Case 182-02- TO-226AC (T0-92)


pF
Min
I pF
Max
i Volts Min
-'
Volts
V(BR)R
Volts Style
Curve
Figure

MVAM10B 440 560 1.0 15 1.0/8.0 12 1 7


MVAM109 400 520 1.0 12 1.0/9.0 15 1 8
MVAM115 440 560 1.0 15 1.0/15 18 1 9
MVAM125 440 560 1.0 15 1.0/25 28 1 10

Table 47. Hyper-Abrupt High Capacitance Voltage Variable Diode - Surface Mount
The following are high capacitance voltage variable diodes intended for low frequency applications and circuits requiring
large tuning capacitance.
CT @ f =1.0 MHz CV

Device
Case 318E-04- SOT-223
V(BR)R
Volls
IR
nA
Min
pF
I Max
pF
Cap Ratio
Min
Q
Min Slyle
Curve
Figure

Pinout: 1-Anode, 2, 4-Cathode, 3-NC


MV7005T1
MV7404T1

Table 48. Hyper-Abrupt High Capacitance Tuning Diodes - Axial Lead Glass Package
CT@VR
Cap Ralio Q CV

I I
pF pF C2IC10 2.0 V, 1.0 MHz V(BR)R Curve
Device Min Max Volls Min Min Volts Style Figure

Case 51-02 - DO-204AA (00-7)


MV1404 96 144 2.0 10 200 12 1 11
MV1403 140 210 2.0 10 200 12 1 11
MV1405 200 300 2.0 10 200 12 1 11
(26) VR = 1.0VNR =9.0 V
(27) VR = 2.0 VNR = 10 V
(28) VR = 1.0 V, f = 1.0 MHz
(29) VR = 2.0 V, f = 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1--31 Small Signal Transistors, FETs and Diodes
Hot-Carrier
(Schottky) Diodes 1
II 2
STYLE 1
2 0--114----0
CASE lS2-o2
TD-226AC
(T0-92)
~
~
CASE 425-04
SOO-123
STYLE 1
1 0--114----0 2
Hot-Carrier diodes are ideal for VHF and UHF mixer and Cathode Anode
detector applications as well as many higher frequency Cathode Anode
applications. They provide stable electrical characteristics by
CASE 419-02
eliminating the pOint-contact diode presently used in many SC-701S0T-323
applications. •

1~3
CASE 318-0S STYLE
To-236AB
SOT-23
10 .1
SINGLE
03
2
STYLES STYLE 11
1 0-".+-1-0 3 10 I'" t I... 02
SINGLE STYLE 9 b SERIES
STYLE 19
l o . l t l"' 02
b l o l"'t l"' 02
Typical Characteristics 3 b SERIES
COMMON CATHODE 3
Capacitance versus Reverse Voltage

2.8
I I I
I - - TA = 25°C - TA = 25°C -
MBJ101 2.4
MMBD101LT1
~0.9 MMBD352LT1' ~ 2
.90
w ~ MMBD353LT1'
.90
w

~
(.)
............ MMBD354LT1'
(.)
z 1.6 MBD301 ,
r--.....
----
Z
;'!: ;'!: MMBD301LT1
[5 0.8

-
[5
ct: ct: 1.2
<C \.. I.........
t5 (.)
,.:. 0.8 .........
li 0.7 (.)

0.4 r-- MBD701, MMBD701 LT1

o I I
1 2 4 0 5 10 15 20 25 30 35 40 45 50
VR, REVERSE VOLTAGE (VOLTS) 'EACH DIODE VR, REVERSE VOLTAGE (VOLTS)
(See Table 49)

Table 49. Hot-Carrier (Schottky) Diodes


The following is a listing of hot carrier (Schottky) diodes that exhibit low forward voltage drop for improved circuit efficiency.
CT@VR VF@10mA IR@VR Minority
V(BR)R pF Volts nA Lifetime Device
Device Volts Max Max Max pS(TYP) Marking Style
Case 182-02 - T0-226AC (T0-92)

MMB0701LTt 70 1.0 @20V 1.0 200@35V 15 5H 8


MMB0301LTt 30 1.5@ 15V 0.6 200@25V 15 4T 8
MMB0101LTt 7.0 1.0 @ OV 0.6 250 @ 3.0V 15 4M 8
MMB0352LTt (23) 7.0 1.0@OV 0.6 250 @ 3.0V 15 M5G 11
MMB0353LTt (23) 7.0 1.0 @ OV 0.6 250 @ 3.0V 15 M4F 19
MMBD354LT1 (23) 7.0 1.0 @ 0 V 0.6 250@ 3.0V 15 M6H 9
(23) Dual Diodes

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-32 Motorola Master Selection Guide
Table 49. Hot-Carrier (Schottky) Diodes
The following is a listing of hot carrier (Schottky) diodes that exhibit low forward voltage drop for improved circuit efficiency.
CT@VR VF@ 10 mA IR@VR Minority
V(BR)R pF Volts nA Lifetime Device
Device Volts Max Max Max pS(TYP) Marking Style
Case 425-04 - (500-123)
MMSD701T1
MMSD301T1

MMBD330Tf
MMBD770Tf
(23) Dual Diodes

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-33 Small Signal Transistors, FETs and Diodes
Switching

'~E2~ 1!~E1~
Diodes
Small-signal switching diodes are intended for low current TO-226AA TO-226AC
switching and steering applications. Hot-Carrier, PIN and 1 (T0-92) 1 (T0-92)
general-purpose diodes allow a wide selection for specific 23 2
application requirements. STYLE 3
10 .1 02

I
I11III
STYLE 1
3 2 0---114----0 1
Typical Characteristics
Capacitance versus Reverse Voltage STYLE 4 Cathode Anode
10
10 1II1II .1 02

u:-
s
TA 25°C
f 1MHz
=
== I
3
w
()
z
~

,~
[5
ct CASE 318-08
<3
w
MPN3404 To-236AB
Cl SOT-23
o
i5 2
,.:. 0.5
=
() 0.3
0.2
MMBV3401 LT1
20V MAXVR
MPN3700
MMBV3700LT1 = 10
STYLE 8
.1 03 10
STYLE 12
1II1I ~ 02
o 0 12 18 24 30
VR, REVERSE VOLTAGE (VOLTS)
36 42 48 54 SINGLE
3
I
COMMON ANODE
(See Table 50)
STYLE 9 STYLE 18
10 .1 1II1I 02 20 1II1I 03

STYLE 1
0---114----0 3
I SINGLE

COMMON CATHODE
Cathode Anode

STYLE 11 STYLE 19
CASE 425-04 10 .1 .1 02 10 1II1I 1II1I 02
SO[)-123

3
I I
3
SERIES SERIES

CASE 419-02

2~
SC-70/s0T-323
1. CASE 3180-03
2
SC-59
STYLE 2
10 .1 03 STYLE 2 STYLE 4
SINGLE
20 .1 03 20 I11III 03
SINGLE SINGLE

STYLES STYLE 4
STYLE 3 STYLES
10 .1 1II1I 02 10 1II1II ~ 02

3
I 3
I 10 .1
I
1II1I 02 10 1II1I
I
.1 02

COMMON CATHODE COMMON ANODE 3 3


COMMON CATHODE COMMON ANODE

Small Signal Transistors, FETs and Diodes 5.1-34 Motorola Master Selection Guide
Switching Diodes (continued)

Table 50. PIN Switching Diodes


The following PIN diodes are designed for VHF band switching and general-purpose low current switching applications.

CT@VR@1.0MHz Series
V(BR)R IR@VR Resistance

I
Volts pF nA Ohm Device
Device Min Max Volts Max Max Marking Style
Case 182-02 - TO-226AC (TO-92)
MPN3700
MPN3404

MMBV3700LT1
MMBV3401 LT1

Table 51. General-Purpose Signal and Switching Diodes - Single


The following is a listing of small-signal switching diodes in surface mount packages. These diodes are intended for low
current switching and signal steering applications.

V(BR)R IR VF CT(30) trr

Device Marking
Min
Volts
I @IBR
(~A)
Max
(~A)
I
@VR
Volts
Min
Volts
I Max
Volts
I
@IF
(rnA)
Max
(pF)
Max
(ns)
Case
Style

Case 318-08 - TO-236AB (SOT-23)


BAS21LT1 JS 250 100 0.1 200 - 1.0 100 5.0 50 8
MMBD914LT1 50 100 100 5.0 75 - 1.0 10 4.0 4.0 8
BAS16LT1 A6 75 100 1.0 75 - 1.0 50 2.0 6.0 8
MMBD6050LT1 5A 70 100 0.1 50 0.85 1.1 100 2.5 4.0 8
BAL99LT1 JF 70 100 2.5 70 - 1.0 50 1.5 6.0 18
Case 3180-03 - SC-59

Case 419-02 - SC-70/S0T-323


BAS16WT1 A6 75 1.0 0.02 20 - 1.25 150 2.0 6.0 2
M1MA141KT1 MH 40 100 0.1 35 - 1.2 100 2.0 3.0 2
M1MA142KT1 MI 80 100 0.1 75 - 1.2 100 2.0 3.0 2
M1MA174T1 J6 100 100 5.0 75 - 1.0 10 4.0 4.0 2

Case 425-04- 500-123


IMMSD914T1 50 100 100 5.0 75 1.0 10 4.0 4.0
(30) VR = 0 V, f = 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-35 Small Signal Transistors, FETs and Diodes
Switching Diodes (continued)

Table 52. General-Purpose Signal and Switching Diodes - Dual


The following is a listing of small-signal switching diodes in surface mount packages. These diodes are intended for low
current switching and signal steering applications.

V(BR)R IR VF CT(30) Irr

Device Marking
Min
Valls
I @IBR
(J.lA)
Max
(J.lA) I
@VR
Valls
Min
Valls
I Max
Vails
I @IF
(rnA)
Max
(pF)
Max
(ns)
Case
Slyle

Case 318-08 - TO-236AB (SOT-23)


MMBD7000LT1 M5C 100 100 1.0 50 0.75 1.1 100 1.5 4.0 11
MMBD2836LT1 A2 75 100 0.1 50 - 1.0 10 4.0 4.0 12
MMBD2838LT1 A6 75 100 0.1 50 - 1.0 10 4.0 4.0 9
BAV70LT1 A4 70 100 5.0 70 - 1.0 50 1.5 6.0 9
BAV99LT1 A7 70 100 2.5 70 - 1.0 50 1.5 4.0 11
BAW56LT1 A1 70 100 2.5 70 - 1.0 50 2.0 6.0 12
MMBD6100LT1 5BM 70 100 0.1 50 0.85 1.1 100 2.5 4.0 9
BAV74LT1 JA 50 5.0 0.1 50 - 1.0 100 2.0 4.0 9
MMBD2835LT1 A3 35 100 0.1 30 - 1.0 10 4.0 4.0 12
MMBD2837LT1 A5 35 100 0.1 30 - 1.0 10 4.0 4.0 9

Case 3180-03 - SC-59


M1MA151WAT1
M1MA151WKT1

Case 419-02 - SC-70/S0T-323


M1MA142WKT1 MU 80 100 0.1 75 - 1.2 100 2.0 3.0 5
M1MA142WAT1 MO 80 100 0.1 75 - 1.2 100 15 10 4
BAW56WT1 A1 70 100 2.5 70 - 1.0 50 2.0 6.0 4
BAV70WT1 A4 70 100 5.0 70 - 1.0 50 1.5 6.0 5
M1MA141WKT1 MT 40 100 0.1 35 - 1.2 100 2.0 3.0 5
M1MA141WAT1 MN 40 100 0.1 35 - 1.2 100 15 10 4

Table 53. Low-Leakage Medium Speed Switching Diodes - Single


V(BR)R IR VF CT(30) Irr

Device Markin9
Min
Valls
I @IBR
(J.lA)
Max
(nA)
I
@VR
VailS
Min
Valls
I Max
Vails
I @IF
(rnA)
Max
(pF)
Max
(ns)
Case
Slyle

Case 318-08 - TO-236AB (SOT-23)


BAS116LT1
MMBD1000LT1

Case 419-02 - (SOT-323)/(SC-70)


I
MMBD2000T1 I DH
I 30
I 100 0.5 30 0.95 10 2.0 3000 2

Case 3180-03 - (SC-59)


I
MMBD3000T1
I XP I 30 100 0.5 30 0.95 10 2.0 3000 2

Case 425-04 - (SOD-123)


I
MMSD1000T1 I 4K I 30 100 0.5 30 0.95 10 2.0 3000

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-36 Motorola Master Selection Guide
SWitching Diodes (continued)

Table 54. Low-Leakage Medium Speed SWitching Diodes - Dual


V(BR)R IR VF C-r!30) trr

Device Marking
Min
Volts
I @IBR
(IlA)
Max
(nA)
I @VR
Volts
Min
Volts
I I
Max
Volts
@IF
(mA)
Max
(pF)
Max
(ns)
Case
Style

Case 318-08 - TO-236AB (SOT-23)


BAV170LTf JX 70 100 5.0 70 - 1.0 10 2.0 3000 9
BAV199LTf JY 70 100 5.0 70 - 1.0 10 2.0 3000 11
BAW156LTf JZ 70 100 5.0 70 - 1.0 10 2.0 3000 12
MMBD100SLTf A3 30 100 0.5 30 - 0.95 10 2.0 3000 12
MMBD1010LT1 A5 30 100 0.5 30 - 0.95 10 2.0 3000 9
Case 419-02 - (SOT-323)1(SC-70) - DUAL
MMBD200STf
MMBD2010Tf

Case 3180-03 - (SC-59) - DUAL


MMBD300STf
MMBD3010Tf
(30) VR =OV. f= 1.0 MHz

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-37 Small Signal Transistors, FETs and Diodes
Multiple
Switching Diodes
Multiple diode configurations utilize monolithic structures fabricated by the planar process. They are designed to satisfy fast
switching requirements as in core driver and encoding/decoding applications where their monolithic configurations offer lower cost,
higher reliability and space savings.

14~

CASE641Hl6 CASE 648-08 CASE 751A-{)3 CASE 7518-05


PIN DIP PIN DIP SO-14 SO-16
PLASTIC PLASTIC PLASTIC PLASTIC

Diode Array Diagrams

4 7

(C8~!~ @llllllil Isolated


Dual 10
Diode
Array
ommon
Cathode) NCPinl,4,6, 10, 13
8 Diode
Array III1111I
2 5 8

(C~~;~ @111&1111
"fffi(
Dual 10 Isolated
Diode
Anode) NC Pin 1,4,6,10,13
7 Diode
Array III I!!!
3 6

16
Diode

NC Pin 4,6,10,13
Dual 8
Diode
Array

"me
NC Pin 6,13

Small Signal Transistors, FETs and Diodes 5.1-38 Motorola Master Selection Guide
Multiple Switching Diodes (continued)

Table 55. Diode Arrays


Case 646 - T0-116
Pin Connections
Device Function Diagram Number

MAD130P Dual 10 Diode Array 1


MAD1103P 16 Diode Array 3
MAD1107P Dual 8 Diode Array 6
MAD1109P 7 Isolated Diode Array 8

Case 648-08
IMAD1108P 18 Isolated Diode Array 7
Case 751A-03- S0-14
MMAD130 Dual 10 Diode Array 2
MMAD1103 16 Diode Array 3
MMAD1105 8 Diode Common Cathode Array 4
MMAD1106 8 Diode Common Anode Array 5
MMAD1107 Dual 8 Diode Array 6
MMAD1109 7 Isolated Diode Array 8
Case 7518-05 - SO-16
IMMAD1108 18 Isolated Diode Array 7

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-39 Small Signal Transistors, FETs and Diodes
1~
....................._ - ~-··-··-TM
G R E E N ~ LIN £
2 2
CASE 311H18 CASE 3180-03
TO-236AB Sc-59
SOT-23

Plastic-Encapsulated
Surface Mount Devices
Energy. It's something Motorola is putting a lot of energy into
helping save. That's why we're introducing our GreenLine™
portfolio of devices, featuring energy--conserving traits
superior to those of our existing line of standard parts for the
CASE 425-114
S00-123
* 2
CASE 419-02
SC-701S0T-323

same usage. GreenLine devices can actually help reduce the • Small Signal HDTMOSTM: These devices provide our
power demands of your products. lowest ever drain-source resistance versus package size.
Lower rDS(on) means less wasted energy through dissipation
Wide Range of Applications loss, making them especially effective for low--current
Currently, our portfolio consists of three families. applications where energy conservation is crucial, such as low
• Low-Leakage Switching Diodes: With reverse leakage current switchmode power supplies, uninterruptable power
specifications guaranteed to 500 pA, they help extend battery supplies (UPS), power management systems, and bias
life, making them ideal for small battery-operated systems in switching. This makes them ideal for portable computer-type
which standby power is essential. Applications include ESD products or any system where the combination of power
protection, reverse voltage protection, and steering logic. management and energy conservation is key.

• Bipolar Output Driver Transistors: Offering ultra-low Save Energy - Save Money
collector saturation voltage, they deliver more energy to the In an increasingly power-hungry world, Motorola's
intended load with less power wasted through dissipation loss. GreenLine portfolio makes powerful sense. So much sense
They are especially effective in today's lower voltage that we plan to continue adding devices to the portfolio.
battery-powered applications, and prolong battery life in Chances are, there are Motorola GreenLine devices
portable and hand-held communications and personal digital applicable to one or more of your products - ones that can
equipment. help save energy, dollars - and the environment.

Table 56. Bipolar Driver Transistor - PNP


These offer ultra-low collector saturation voltage.
Pinout: 1-Base, 2-Emitter, 3-Collector
hFE@ IC
Device Type Marking Case V{BR)CEO VCE{sat) VBE{sat) Min Max rnA
MMBT1010LTI GLP SOT-23 15 0.1 1.1 300 600 100
MS01010T1 GLP SC-59 15 0.1 1.1 300 600 100

Devices listed in bold, italic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-40 Motorola Master Selection Guide
GreenLine (continued)

Table 57. Low Leakage Switching Diodes


These offer reverse leakage specifications guaranteed to 500 pA. Versions available in single and dual.
V(BR)R IR
Min @IBR Max @VR
Device Type Marking Case Style Volts (1lA) (nA) Volts
MMBD1000LT1 AY SOT-23 Single 30 100 0.5 30
MMBD1005LT1 A3 SOT-23 Dual Anode 30 100 0.5 30
MMBD1010LT1 A5 SOT-23 Dual Cathode 30 100 0.5 30
MMBD2000T1 DH SC-70 Single 30 100 0.5 30
MMBD2005T1 DI SC-70 Dual Anode 30 100 0.5 30
MMBD2010T1 DP SC-70 Dual Cathode 30 100 0.5 30
MMBD3000T1 XP SC-59 Single 30 100 0.5 30
MMBD3005T1 XQ SC-59 Dual Anode 30 100 0.5 30
MMBD3010T1 XS SC-59 Dual Cathode 30 100 0.5 30
MMSD1000T1 4K S00-123 Single 30 100 0.5 30

Table 58. Small Signal HDTMOSTM


These provide the lowest drain-source resistance versus package size.
Switching Time

1 1
ROS(on) VGS(th)
Volts
OeviceType Marking Channel Ohm J mA VOSS Min
Volts
Max
t(on)
ns
t(off)
ns Style
Case 318-08 - TO-236AB (SOT-23) - P-Ghannel and N-Ghannel
MMBF0201NLT1
MMBF0202PLT1

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.1-41 Small Signal Transistors, FETs and Diodes
Devices listed in bold, ~alic are Motorola preferred devices.

Small Signal Transistors, FETs and Diodes 5.1-42 Motorola Master Selection Guide
TVSlZeners
Transient Voltage Suppressors
Zener Regulator and Reference Diodes

In Brief ...
Motorola's standard TVS (Transient Voltage Suppressors) Page
and Zener diodes comprise the largest inventoried line in the TVS (TranSient Voltage Suppressors) ............. 5.2-2
industry. Continuous development of improved manufacturing General-Purpose . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.2-2
techniques have resulted in computerized diffusion and test, as Axial Leaded for Through-hole Designs ...... 5.2-2
well as critical process controls leamed from surface--sensitive Surface Mount Packages ..................... 5.2-9
MOS fabrication. Resultant high yields lower factory costs. Overvoltage Transient Suppressors ........... 5.2-15
Check the following features for application to your specific Zener Diodes ................................. 5.2-16
requirements: Voltage Regulator Diodes .................... 5.2-16
• Wide selection of package materials and styles: Notes - Axial Leaded Chart ................. 5.2-20
Notes - Surface Mount Chart. . . . . . . . . . . . . . .. 5.2-23
- Plastic (Surmetic) for low cost, mechanical ruggedness
Voltage Reference Diodes ................... 5.2-31
- Glass for high reliability, low cost
Current Regulator Diodes. . . . . . . . . . . . . . . . . . .. 5.2-31
- Surface Mount packages for state of the art designs
• Power Ratings from 0.25 to 5.0 Watts
• Breakdown voltages from 1.8 to 400 Volts in
approximately 10% steps
• TVS from 24 to 1500 Watts and from 6.2 to 250 Volts
• ESD protection devices
• Available tolerances from 5% (low cost) to as tight as
1% (critical applications)
• Special selection of electrical characteristics available
at low cost due to high-volume lines (check your
Motorola sales representative for special quotations)
• UL Recognition on many TVS device types
• Tape and Reel options available on all axial leaded and
surface mount types

Note: Any TVS/Zener device not listed in this Master Selection Guide may
be available with a special order. Please contact your Motorola
representative for details.

Motorola Master Selection Guide 5.2-1 TVSlZeners


TVS (Transient Voltage Suppressors)
General-Purpose
Transient Voltage Suppressors are designed for applications Selection sequence:
requiring protection of voltage sensitive electronic devices in
1. Package type (axial or surface mount)
danger of destruction by high energy voltage transients. Many
of the zener voltage regulator diodes listed in the previous 2. Peak surge power expected for the application
charts are in fact used in circuits as transient voltage
suppressors. The purpose of this section is to present the 3. Working peak reverse stand-off voltage (or the breakdown
families of Motorola Zeners that are specified with the key voltage)
transient voltage suppressor parameters and limits, e.g., 4. Maximum reverse clamping voltage
maximum clamping voltage at maximum surge current rating
and working peak reverse (stand-off) voltage. Consult the factory for special electrical selections if there is
no standard device type available to fit the application.

Axial Leaded for Through-hole Designs

Table 1. Peak Power Dissipatlon(1) (500 Watts @ 1 ms Surge - Figure 1)


Case 59-04 - Mini Mosorb

IRSM ~Figure 1
IRSM
2
,,
, I
CASE 59-M (Mini Mosorb™) 0123456
PLASTIC Time_ (ms)
=
Cathode Polarity Band Surge Curren1 CharacteriS1lcs

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 35 A Pulse
(except bidirectional devices).
Breakdown Voltage
Working Peak Maximum Maximum Maximum
Reverse Reverse Reverse Surge Reverse Voltage
Voltage VBR @IT Leakage Current IRSM @IRSM
(Volts)
VRWM Pulse @VRWM Figure 1 (Clamping Voltage)
(Volts) Device(2) Min Max (mA) IR (!tA) (Amps) VRSM (Volts)
5 SA5.0A 6.4 7 10 600 54.3 9.2
6 SA6.0A 6.67 7.37 10 600 48.5 10.3
7 SA7.0A 7.78 8.6 10 150 41.7 12
8 SA8.0A B.89 9.83 1 25 36.7 13.6
11 SA11A 12.2 13.5 1 1 27.4 18.2
12 SA12A 13.3 14.7 1 1 25.1 19.9
13 SA13A 14.4 15.9 1 1 23.2 21.5
14 SA14A 15.6 17.2 1 1 21.5 23.2
15 SA15A 16.7 18.5 1 1 20.6 24.4
16 SA16A 17.8 19.7 1 1 19.2 26
17 SA17A 18.9 20.9 1 1 18.1 27.6
(1) Steady state power dissipation = 3 watt max rating
(2) For bidirectional types use CA suffix, SA6.5CA, SA 12CA, SA 13CA and SA 15CA are Motorola preferred devices.
Have cathode polarity band on each end. (Consult factory for availability).

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-2 Motorola Master Selection Guide


TVS
Axial Leaded for Through-hole Designs (continued)
Table 1. Peak Power Dissipation(1) (500 Watts @ 1 ms Surge - Figure 1)
Case 59-04 - Mini Mosorb (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 35 A Pulse
(except bidirectional devices).
Breakdown Voltage
Working Peak Maximum Maximum Maximum
Reverse Reverse Reverse Surge Reverse Voltage
Voltage VBR @IT Leakage Current IRSM @IRSM
(Volts)
VRWM Pulse @VRWM Figure 1 (Clamping Voltage)
(Volts) Device(2) Min Max (mA) IR(~) (Amps) VRSM (Volts)
20 SA20A 22.2 24.5 1 1 15.4 32.4
24 SA24A 26.7 29.5 1 1 12.8 38.9
26 SA26A 28.9 31.9 1 1 11.9 42.1
28 SA28A 31.1 34.4 1 1 11 45.4
30 SA30A 33.3 36.8 1 1 10.3 48.4
36 SA36A 40 44.2 1 1 8.6 58.1
51 SA51 A 56.7 62.7 1 1 6.1 82.4
58 SA58A 64.4 71.2 1 1 5.3 93.6
60 SA60A 66.7 73.7 1 1 5.2 96.8
75 SA75A 83.3 92.1 1 1 4.1 121
78 SA78A 86.7 95.8 1 1 4 126
90 SA90A 100 111 1 1 3.4 146
110 SA110A 122 135 1 1 2.8 177
130 SA130A 144 159 1 1 2.4 209
160 SA160A 178 197 1 1 1.9 259
170 SA170A 189 209 1 1 1.8 275
(1) Steady state power dissipation = 3 watt max rating
(2) For bidirectional types, use CA suffix.
Have cathode polarity band on each end. (Consult factory for availability).

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-3 TVS/Zeners


TVS
Axial Leaded for Through-hole Designs (continued)
Table 2. Peak Power Dissipation(2) (600 Watts @ 1 ms Surge- Figure 1)
Case 17-02 - Surmetlc 40

IRSM
~FigUre1
IRSM
--
2

0 1 2 3 4 5 6
CASE 17-Q2 TIme_(ms)
PLASTIC Surge Current Characteristics
=
Cathode Polarity Band

=
ELECTRICAL CHARACTERISTICS (TA 25°C unless otherwise noted) VF =3.5 V Max, IF =50 A Pulse
(except bidirectional devices).

Breakdown
Voltage(3)
Working Peak Maximum Maximum Maximum
Reverse Reverse Reverse Surge Reverse Voltage
VBR
@IT Voltage Leakage Current IRSM @IRSM
(Volts)
Pulse VRWM @VRWM Figure 1 (Clamping Voltage)
Nom (rnA) Devlce(l, 4) (Volts) IR(IlA) (Amps) VRSM (Volts)
6.8 10 P6KE6.8A 5.8 1000 57 10.5
7.5 10 P6KE7.5A 6.4 500 53 11.3
8.2 10 P6KE8.2A 7.02 200 50 12.1
9.1 1 P6KE9.1A 7.78 50 45 13.4
10 1 P6KElOA 8.55 10 41 14.5
11 1 P6KE11A 9.4 5 38 15.6
12 1 P6KE12A 10.2 5 36 16.7
13 1 P6KE13A 11.1 5 33 18.2
15 1 P6KE15A 12.8 5 28 21.2
16 1 P6KE16A 13.6 5 27 22.5
18 1 P6KE18A 15.3 5 24 25.2
20 1 P6KE20A 17.1 5 22 27.7
22 1 P6KE22A 18.8 5 20 30.6
24 1 P6KE24A 20.5 5 18 33.2
27 1 P6KE27A 23.1 5 16 37.5
30 1 P6KE30A 25.6 5 14.4 41.4

33 1 P6KE33A 28.2 5 13.2 45.7


36 1 P6KE36A 30.8 5 12 49.9
39 1 P6KE39A 33.3 5 11.2 53.9
43 1 P6KE43A 36.8 5 10.1 59.3
47 1 P6KE47A 40.2 5 9.3 64.8
51 1 P6KE51A 43.6 5 8.6 70.1
56 1 P6KE56A 47.8 5 7.8 77
62 1 P6KE62A 53 5 7.1 85
68 1 P6KE68A 58.1 5 6.5 92
75 1 P6KE75A 64.1 5 5.8 103
82 1 P6KE82A 70.1 5 5.3 113
91 1 P6KE91A 77.8 5 4.8 125
120 1 P6KE120A 102 5 3.6 165
(f) For bidirectional types use CA suffix, P6KE7.5CA and P6KEttCA are Motorola preferred devices.
Have cathode polarity band on each end. (Consun factory for availability).
(2) Steady state power dissipation = 5 watt max rating.
(3) Breakdown voltage tolerance is ± 5% for A suffix.
(4) UL recognition for classification of protectors (QVGV2) under the UL standard for safety 497B and file IIEff6ff 0 for entire series including CA suffixes.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-4 Motorola Master Selection Guide


TVS
Axial Leaded for Through-hole Designs (continued)
Table 2. Peak Power Dissipation(2) (600 Watts @ 1 ms Surge - Figure 1)
Case 17-02 - Surmetic 40 (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 50 A Pulse
(except bidirectional devices).

Breakdown
Voltage(3)
Working Peak Maximum Maximum Maximum
Reverse Reverse Reverse Surge Reverse Voltage
VBR
@tr Voltage Leakage Current IRSM @IRSM
(Volts)
Pulse VRWM @VRWM Figure 1 (Clamping Voltage)
Nom (mA) Device(1, 4) (Volts) IR !/lA) (Amps) VRSM (Volts)
130 1 P6KE130A 111 5 3.3 179
150 1 P6KE150A 128 5 2.9 207
160 1 P6KE160A 136 5 2.7 219
180 1 P6KE180A 154 5 2.4 246
200 1 P6KE200A 171 5 2.2 274
(I) For bidirectional types use CA suffix. Have cathode polarity band on each end. (Consult factory for availability).
(2) Steady state power dissipation = 5 watt max rating.
(3) Breakdown voltage tolerance is ±5% for A suffix.
(4) UL recognition for classification of protectors (QVGV2) underthe UL standard for safety 497B and file #E11611 0 for entire series including CA suffixes.

Motorola Master Selection Guide 5.2-5 TVSlZeners


TVS
Axial Leaded for Through-hole Designs (continued)

Table 3. Peak Power Disslpatlon(l) (1500 WATTS @ 1 ms Surge - Figure 1)


Case 41A-02 - Mosorb

IRSM
IRSM
~R."rel
2

0 1 2 3 4 5 6
CASE 41A412
PLASTIC Time_ (ms)
Cathode = Polarity Band Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF = 100 A Pulse)
(C suffix denotes standard back to back bidirectional versions. Test both polarities)
Clamping Voltage(3)

Maximum
Breakdown Maximum Reverse
Maximum Voltage Reverse Vottage@ Peak Pulse Peak Pulse
Reverse Maximum Surge IRSM Current @ Current @
Stand-off Reverse Current (Clamping Ippl = 1 A Ipp2=10A
Voltage VBR @IT Leakage Figure 1 Voltage) Figure 1 Figure 1
VRWM JEDEC(2) Volts Pulse @VRWM IRSM VRSM VCl VC2
(Volts) Device Devlce(2) Min (mA) IR(IlA) (Volts) (Volts) (Volts max) (Volts max)
5 lN5908 6 1 300 120 8.5 7.6@ 30A 8@60A
5 lN6373 ICTE--5'MPTE-5 6 1 300 160 9.4 7.1 7.5
8 1N6374 ICTE-8/MPTE-8 9.4 1 25 100 15 11.3 11.5
8 1N6382 ICTE-8C/MPTE-8C 9.4 1 25 100 15 11.4 11.6
10 1N6375 ICTE-10/MPTE-10 11.7 1 2 90 16.7 13.7 14.1
10 1N6383 ICTE-1 OC/MPTE-1 OC 11.7 1 2 90 16.7 14.1 14.5
12 1N6376 ICTE-121MPTE-12 14.1 1 2 70 21.2 16.1 16.5
12 1N6384 ICTE-12C/MPTE-12C 14.1 1 2 70 21.2 16.7 17.1
15 1N6377 ICTE-15/MPTE-15 17.6 1 2 60 25 20.1 20.6
15 1N6385 ICTE-15C/MPTE-15C 17.6 1 2 60 25 20.8 21.4
18 1N6378 ICTE-181MPTE-18 21.2 1 2 50 30 24.2 25.2
18 1N6386 ICTE-18C/MPTE-18C 21.2 1 2 50 30 24.8 25.5
22 1N6379 ICTE-22/MPTE-22 25.9 1 2 40 37.5 29.8 32
36 1N6380 ICTE-36/MPTE-36 42.4 1 2 23 65.2 50.6 54.3
36 1N6388 ICTE-36C1MPTE-36C 42.4 1 2 23 65.2 50.6 54.3
45 1N6381 ICTE-45IMPTE-45 52.9 1 2 19 78.9 63.3 70
45 1N6389 ICTE-45C/MPTE-45C 52.9 1 2 19 78.9 63.3 70
(1) Steady state power diSSipation = 5 watts max rating.
(2) 1 N6382 thru 1 N6389 and C suffix ICTEIMPTE device types are bidirectional. Have cathode polarity band on each end. All other device types are unidirectional
only. (Consult factory for availability)
(3) Clamping voltage peak pulse currents for 1 N5908 are 30 Amps and 60 Amps.

Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners 5.2-6 Motorola Master Selection Guide


TVS
Axial Leaded for Through-hole Designs (continued)

Table 4. Peak Power Dissipation(1) (1500 Watts @ 1 ms Surge - Figure 1)


Case 41 A-02 - Mosorb

IRSM ~Figure 1
IRSM
2
,,
, I
0123456
CASE 41A-02
PLASTIC Time_ (ms)
=
Cathode Polarity Band Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA =25'C unless otherwise noted) VF =3.5 V Max, IF =100 A Pulse
Maximum
Breakdown Voltage(2) Maximum Reverse
Working Reverse Voltage
Peak Maximum Surge @IRSM
VBR Reverse Reverse Current (Clamping
Volts
@IT Voltage Leakage Figure 1 Voltage)
Pulse JEDEC VRWM @VRWM IRSM VRSM
Nom (mA) Device Device(3, 4) (Volts) IR(IlA) (Amps) (Volts)
6.8 10 1N6267A 1.5KE6.8A 5.8 1000 143 10.5
7.5 10 lN6268A 1.5KE7.5A 6.4 500 132 11.3
8.2 10 lN6269A 1.5KE8.2A 7.02 200 124 12.1
10 1 1N6271 A 1.5KE10A 8.55 10 103 14.5
11 lN6272A 1.5KEllA 9.4 5 96 15.6
12 lN6273A 1.5KE12A 10.2 5 90 16.7
13 lN6274A 1.5KE13A 11.1 5 82 18.2
15 1N6275A 1.5KE15A 12.8 5 71 21.2
16 lN6276A 1.5KE16A 13.6 5 67 22.5
18 lN6277A 1.5KE18A 15.3 5 59.5 25.2
20 lN6278A 1.5KE20A 17.1 5 54 27.7
22 lN6279A 1.5KE22A 18.8 5 49 30.6
24 1N6280A 1.5KE24A 20.5 5 45 33.2
27 1N6281A 1.5KE27A 23.1 5 40 37.5
30 1N6282A 1.5KE30A 25.6 5 36 41.4
33 1N6283A 1.5KE33A 28.2 5 33 45.7
36 lN6284A 1.5KE36A 30.8 5 30 49.9
39 1N6285A 1.5KE39A 33.3 5 28 53.9
43 lN6286A 1.5KE43A 36.8 5 25.3 59.3
47 lN6287A 1.5KE47A 40.2 5 23.2 64.8
51 1N6288A 1.5KE51A 43.6 5 21.4 70.1
56 lN6289A 1.5KE56A 47.8 5 19.5 77
62 lN6290A 1.5KE62A 53 5 17.7 85
68 lN6291A 1.5KE68A 58.1 5 16.3 92
75 lN6292A 1.5KE75A 64.1 5 14.6 103
82 lN6293A 1.5KE82A 70.1 5 13.3 113
91 lN6294A 1.5KE91A 77.8 5 12 125
100 lN6295A 1.5KE100A 85.5 5 11 137
110 lN6296A 1.5KE110A 94 5 9.9 152
120 lN6297A 1.5KE120A 102 5 9.1 165
130 lN6298A 1.5KE130A 111 5 8.4 179
(1) Steady state power dissipation = 5 watts max rating.
(2) Breakdown voltage tolerance is ±5% for A suffix.
(3) For bidirectional types use CA suffix on 1.5KE series only. Have cathode polarity band on each end. (Consult factory for availability)
1N6267-6303A series do not have CA option since the CA is not included in EIA Registration.
(4) UL recogn~ion for classification of protectors (QVGV2) under the UL standard for safety 497B and file #El16110 for 1.5KE6.8A,CA thru 1.5KE250A.CA.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-7 TVS/Zeners


TVS
Axial Leaded for Through-hole Designs (continued)

Table 4. Peak Power Dissipation(1) (1500 Watts @ 1 ms Surge - Figure 1)


Case 41A-02 - Mosorb (continued)

ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted) VF =3.5 V Max, IF =100 A Pulse
Maximum
Breakdown Voltage(2) Maximum Reverse
Working Reverse Voltage
Peak Maximum Surge @IRSM
VBR Reverse Reverse Current (Clamping
Volts Figure 1
@IT Voltage Leakage Voltage)
Pulse JEDEC VRWM @VRWM IRSM VRSM
Nom (mA) Device Device(3, 4) (Volts) IR(~) (Amps) (Volts)
150 1 1N6299A 1.5KE150A 128 5 7.2 207
160 1 1N6300A 1.5KE160A 136 5 6.8 219
170 1 1N6301A 1.5KE170A 145 5 6.4 234
180 1 1N6302A 1.5KE180A 154 5 6.1 246
200 1 1N6303A 1.5KE200A 171 5 5.5 274
220 1 1.5KE220A 185 5 4.6 328
250 1 1.5KE250A 214 5 5 344
(1) Steady state power dissipation = 5 watts max rating.
(2) Breakdown voltage tolerance is ±5% for A suffix.
(3) For bidirectional types use CA suffix. Have cathode polarity band on each end. (Consult factory for availability).
1N6267-6303A series do not have CA option since the CA is not included in EIA Registration.
(4) UL recognftion for classmcation of protectors (QVGV2) under the UL standard for safety 497B and file #E11611 0 for 1.5KE6.BA,CA thru 1.5KE250A,CA.

TVSlZeners 5.2-8 Motorola Master Selection Guide


Surface Mount Packages
Table 5. Peak Power Dissipation (40 Watts @ 1 ms Surge - Figure 1)(1)
Case 318-08 - Common Cathode
MMBZ15VOLT1, MMBZ27VCLTt(2) - SOT-23 Dual Monolithic Common Cathode Bipolar Zener (for ESD protection)

,~'
2
Pinout: TERMINAL 1 - ANODE
TERMINAL 2 - ANODE
TERMINAL 3 - COMMON CATHODE
IRSM t h : F i g u r e 1
IRSM
2 ,
,

::-I~~t-a
, I
CASE 318-08, STYLE 9 0123456
TO-236AB
LOW PROFILE SOT-23 3 Time_ (ms)
PLASTIC Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)


BIDIRECTIONAL (Circuit tied to pins 1 and 2)

Breakdown Voltage
Working Peak Maximum Reverse Maximum
VBR(3) Reverse Maximum Reverse Maximum Reverse Voltage @ IRMS Temperature
(Volts Voltage Leakage Current Surge Current (Clamping Voltage) Coefficient
@IT VRWM IRWM IRSM VRSM ofVBR
Min Nom Max (mA) (Volts) 'R(nA) (Amps) (Volts) (mVrC)
14.3 15 15.B 1.0 12.B 100 1.9 21.2 12
25.65 27 2B.35 1.0 22 50 1.0 3B 26

Table 6. Peak Power Dissipation (24 Watts @ 1 ms Surge - Figure 1)(1)


Case 318-08 - Common Anode
MMBZ5V6ALTt, MMBZ6V2ALT1, MMBZ15ALTt,MMBZ20ALTt(2) - SOT-23 Dual Monolithic Common Anode Zener
(for ESO Protection)

,~3 IRSM t h : F i g u r e
IRSM
2
1

2 ,,
i I
CASE 318-08, STYLE 12 0123456
PIN 1. CATHODE
TO-236AB 2. CATHODE
LOW PROFILE SOT-23 Time_ (ms)
PLASTIC 3. COMMON ANODE Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted)


UNIDIRECTIONAL (Circuit tied to pins 1 and 3 or Pins 2 and 3) (VF = 0.9 V Max @ IF = 10 rnA)
Max Reverse Max
Leakage Max Reverse
Breakdown Voltage Current Max Zener Impedance(4) Reverse Voltage@ Maximum
VBR(3) Surge IRSM Temperature
(Volts) Current (Clamping Coefficient
@IT @ VR ZZT @ 'T ZZK @ IZK Voltage) ofVBR
'R 'RSM
Min Nom Max (mA) (!tA) (V) (nA) (mA) (n) (rnA) (A) VRSM(V) (mVrC)

5.32 5.6 5.BB 20 5.0 3.0 11 1600 0.25 3.0 B.O 1.26

5.B9 6.2 6.51 1.0 0.5 3.0 220 2.76 B.7 2.80
14.25 15 15.75 1.0 0.05 12 100 1.9 21 12.3

19 20 21 1.0 0.05 17 100 1.4 28 17


(1) Other voltages may be available upon request. Contact your Motorola representative.
(2) Tl suffix deSignates tape and reel of 3000 units.
(3) VBR measured at pulse test current IT at an ambient temperature of 25°C.
(4) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current supplied.
The specified limits are IZ(AC) = 0.1 IZ(DC). with AC frequency = 1 kHz.

Motorola Master Seleciion Guide 5.2-9 TVS/Zeners


TVS
Surface Mount Packages (continued)

Table 7. Peak Power Dissipation (24 Watts @ 1 rns Surge - Figure 1)

.4
Case 318F-01-Monolithic 4-Function Device (Available 1st Quarter 1996)
MMQA5V6T1, MMQA20Vn(1) - S~9 Quad Transient Voltage Suppressor (for ESD Protection)

RSM
PIN 1. CATHODE ~ure1

:$'
IRSM
2. ANODE - -
2
12 3. CATHODE
3 4 5 4. CATHODE
0 1 2 3 4 5 6
CASE 318F-{)2 6 5. ANODE Time_ (ms)
S~9 6. CATHODE
PLASTIC Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)


UNIDIRECTIONAL (Circuit tied to pins 1, 2, and 5; Pins 2, 3, and 5; Pins 2, 4, and 5; or Pins 2, 5, and 6) (VF = 0.9 V Max @ IF = lOrnA)

Max Reverse
Max
Leakage
Max Reverse
Breakdown Voltage Current Max Zener Impedance(3)
Reverse Voltage@
VZ~2) Surge IRSM Maximum
(Volts) @IZT Current (Clamping Temperature
(rnA) IR @ VR ZZT @ IZT ZZK @ IZK IRSM Voltage) Coefficient
Min Nom Max 1 (~) (V) (n) (mA) (n) (mA) (A) VRSM(V) of Vz (mVlOC)

5.32 5.6 5.88 1.0 5.0 3.0 11 1600 0.25 3.0 8.0 1.26

19 20 21 1.0 0.1 15 125 600 0.25 0.84 28.6 20.07

(I) Tl suffix deSignates tape and reel of 3000 units.


(2) VBR and Vz are measured at pulse test current IT at an ambient temperature of 25°C.
(3) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current supplied.
The specified limits are IZ(AC} = 0.1 IZ(DC}, with AC frequency = 1 kHz.

..
Table 8. Peak Power DiSSipation (600 Watts @ 1 rns Surge - Figure 1) Case 403A-03

5MB
CASE 403A-{)3
PLASTIC
IRSM
IRSM
-
2
-

0
~igUre1

1 2 3 4
Time_ (ms)
5 6
Cathode Notch= Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted)


Breakdown Voltage
Maximum Peak
Clamping Pulse Maximum
Reverse Voltage Current Reverse
VBR@IT
Stand-Off VC@ (See Figure 1) Leakage Device
VR(Volts)(l) Device(2, 3) Volts Min PulsemA Ipp Volts IppAmps @VRIR A Marking
5 1SMB5.0AT3 6.4 10 9.2 65.2 800 KE
6 1SMB6.0AT3 6.67 10 10.3 58.3 800 KG
6.5 ISMB6.5AT3 7.22 10 11.2 53.6 500 KK
7 ISMB7.0AT3 7.78 10 12 50 200 KM
7.5 ISMB7.5AT3 8.33 1 12.9 46.5 100 KP
8 ISMB8.0AT3 8.89 1 13.6 44.1 50 KR
(1) A transient suppressor IS normally selected according to the reverse "Stand Off Voltage" (VR) which should be equal to or greater than
the DC or continuous peak operating voltage level.
(2) T3 suffix designates tape and reel of 2500 units.
(3) Bidirectional version available for 15MBI OAT3 thru 1 5MB78AT3, electrical characteristics apply in both directions except for VFI. Use CAT3 suffix.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-10 Motorola Master Selection Guide


TVS
Surface Mount Packages (continued)
Table 8. Peak Power Dissipation (600 Watts @ 1 ms Surge - Figure 1) Case 403A-D3 (continued)


5MB
CASE 403A-03
PLASTIC
IRSM th:Figure 1
IRSM
2 ,

0123456
Time_ (ms)
, i

=
Cathode Notch Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)


Breakdown Voltage
Maximum Peak
Clamping Pulse Maximum
Reverse Voltage Current Reverse
Stand-off VC@ (See Figure 1) Leakage Device
VR(Volts)(1) Device(2, 3) Volts Min PulsemA Ipp Volts IppAmps @VRIR A Marking
8.5 1SMB8.5AT3 9.44 14.4 41.7 10 KT
9 1SMB9.0AT3 10 15.4 39 5 KV
10 1SMB10AT3 11.1 17 35.3 5 KX
11 1SMB11AT3 12.2 18.2 33 5 KZ
12 1SMB12AT3 13.3 19.9 30.2 5 LE
13 1SMB13AT3 14.4 21.5 27.9 5 LG
14 1SMB14AT3 15.6 23.2 25.8 5 LK
15 1SMB15AT3 16.7 24.4 24 5 LM
16 1SMB16AT3 17.8 26 23.1 5 LP
18 1SMB18AT3 20 29.2 20.5 5 LT
20 1SMB20AT3 22.2 32.4 18.5 5 LV
22 1SMB22AT3 24.4 35.5 16.9 5 LX
24 1SMB24AT3 26.7 38.9 15.4 5 LZ
26 1SMB26AT3 28.9 42.1 14.2 5 ME
28 1SMB28AT3 31.1 45.4 13.2 5 MG
30 1SMB30AT3 33.3 48.4 12.4 5 MK
36 1SMB36AT3 40 58.1 10.3 5 MP
40 1SMB40AT3 44.4 64.5 9.3 5 MR
43 1SMB43AT3 47.8 69.4 8.6 5 MT
45 1SMB45AT3 50 72.7 8.3 5 MV
48 1SMB48AT3 53.3 77.4 7.7 5 MX
51 1SMB51AT3 56.7 82.4 7.3 5 MZ
54 1SMB54AT3 60 87.1 6.9 5 NE
58 1SMB58AT3 64.4 93.6 6.4 5 NG
60 1SMB60AT3 66.7 96.8 6.2 5 NK
64 1SMB64AT3 71.1 103 5.8 5 NM
70 1SMB70AT3 77.8 113 5.3 5 NP
75 1SMB75AT3 83.3 121 4.9 5 NR
78 1SMB78AT3 86.7 126 4.7 5 NT
85 1SMB85AT3 94.4 137 4.4 5 NV
90 1SMB90AT3 100 146 4.1 5 NX
100 1SMB100AT3 111 162 3.7 5 NZ
110 1SMB110AT3 122 177 3.4 5 PE
120 1SMB120AT3 133 193 3.1 5 PG
130 1SMB130AT3 144 209 2.9 5 PK
150 1SMB150AT3 167 243 2.5 5 PM
160 1SMB160AT3 178 259 2.3 5 PP
170 1SMB170AT3 189 275 2.2 5 PR
(1) A transient suppressor IS normally selected according to the reverse "Stand Off Voltage" (VR) which should be equal to or greater than
the DC or continuous peak operating voltage level.
(2) T3 suffix designates tape and reel of 2500 units. .
(3) Bidirectional version available for 15MB1 OAT3 thru 1SMB78AT3, electrical characteristics apply in both directions except for VFI. Use CAT3 suffix.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-11 TVSlZeners


TVS
Surface Mount Packages (continued)

Table 9. Peak Power Dissipation (600 Wans @ 1 ms Surge - Figure 1) Case 403A-G3
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF(5) = 100 A for all types.

Working Maximum Maximum Maximum


VBR @IT Peak Reverse Reverse Reverse Voltage Maximum
Volts Reverse Leakage Surge @IRSM Tempereture
Voltage @VRWM Current (Clamping Voltage) Coefficient
VRWM IR IRSM VRSM ofVBR Device
Device(3, 4) Min Nom Max mA Volts J.lA Amps Volts %/"C Marking
P6SMB6.8AT3 6.45 6.8 7.14 10 5.8 1000 57 10.5 0.057 6V8A
P6SMB7.5AT3 7.13 7.5 7.88 10 6.4 500 53 11.3 0.061 7V5A
P6SMB9.1AT3 8.65 9.1 9.55 1 7.78 50 45 13.4 0.068 9V1A
P6SMBI0AT3 9.5 10 10.5 1 8.55 10 41 14.5 0.073 lOA
P6SMB12AT3 11.4 12 12.6 1 10.2 5 36 16.7 0.078 12A
P6SMBI3AT3 12.4 13 13.7 1 11.1 5 33 18.2 0.081 13A
P6SMB15AT3 14.3 15 15.8 1 12.8 5 28 21.2 0.084 15A
P6SMB16AT3 15.2 16 16.8 1 13.6 5 27 22.5 0.086 16A
P6SMB18AT3 17.1 18 18.9 1 15.3 5 24 25.2 0.088 18A
P6SMB20AT3 19 20 21 1 17.1 5 22 27.7 0.09 20A
P6SMB22AT3 20.9 22 23.1 1 18.8 5 20 30.6 0.092 22A
P6SMB24AT3 22.8 24 25.2 1 20.5 5 18 33.2 0.094 24A
P6SMB27AT3 25.7 27 28.4 1 23.1 5 16 37.5 0.096 27A
P6SMB30AT3 28.5 30 31.5 1 25.6 5 14.4 41.4 0.097 30A
P6SMB33AT3 31.4 33 34.7 1 28.2 5 13.2 45.7 0.098 33A
P6SMB36AT3 34.2 36 37.8 1 30.8 5 12 49.9 0.099 36A
P6SMB39AT3 37.1 39 41 1 33.3 5 11.2 53.9 0.1 39A
P6SMB47AT3 44.7 47 49.4 1 40.2 5 9.3 64.8 0.101 47A
P6SMB51AT3 48.5 51 53.6 1 43.6 5 8.6 70.1 0.102 51A
P6SMB56AT3 37.1 39 41 1 33.3 5 11.2 53.9 0.1 39A
P6SMB62AT3 58.9 62 65.1 1 53 5 7.1 85 0.104 62A
P6SMB68AT3 64.6 68 71.4 1 58.1 5 6.5 92 0.104 68A
P6SMB82AT3 77.9 82 86.1 1 70.1 5 5.3 113 0.105 82A
P6SMB91AT3 86.5 91 95.5 1 77.8 5 4.8 125 0.106 91A
P6SMB100AT3 95 100 105 1 85.5 5 4.4 137 0.106 100A
P6SMBll0AT3 105 110 116 1 94 5 4 152 0.107 110A
P6SMB120AT3 114 120 126 1 102 3 165 0.107 120A
P6SMB150AT3 143 150 158 1 128 5 2.9 207 0.108 150A
P6SMB160AT3 152 160 168 1 136 5 2.7 219 0.108 160A
P6SMB170AT3 162 170 179 1 145 5 2.6 234 0.108 170A
P6SMB180AT3 171 180 189 1 154 5 2.4 246 0.108 180A
P6SMB200AT3 190 200 210 1 171 5 2.2 274 0.108 200A
(1) Breakdown voltage tolerance is ±S% for A suffix.
(2) VBR measured at pulse test current IT at an ambient temperaure of 25°C.
(3) T3 suffix designates tape and reel of 2500 units.
(4) Bidirectional version available for P6SMB12AT3 thru P6SMB91 AT3. Electrical characteristics apply in both directional except for VF Use CAT3 suffix.
(5) 1/2 sine wave (or equivalent square wave), PW = 8.3 ms, duty cycle = 4 pulses per minute maximum.

Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners 5.2-12 Motorola Master Selection Guide


TVS
Surface Mount Packages (continued)

Table 10. Peak Power Dissipation (1500 Watts @ 1 ms Surge - Figure 1) Case 403-03

• SMC
CASE 403-03
PLASTIC
=
Cathode Notch
IRSM
IRSM
- -
2
~urel

0 1 2 3 4
TIme_ (ms)
5 6

Surge Current Characteristics

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)


Breakdown Voltage(3) Peak Maximum
Reverse Maximum Pulse Current Reverse Leakage
VBR@IT
Stand-Off Voltage Clamping Voltage (See Figure 1) @VR
VR Volts VC@lpp Ipp IR Device
Device(l) Volts(2) Min mA Volts Amps I!A Marking
lSMC5.0AT3 5.0 6.40 10 9.2 163.0 1000 GOE
lSMC6.0AT3 6.0 6.67 10 10.3 145.6 1000 GOG
lSMC6.5AT3 6.5 7.22 10 11.2 133.9 500 GOK
lSMC7.0AT3 7.0 7.78 10 12.0 125.0 200 GDM
lSMC7.5AT3 7.5 8.33 1.0 12.9 116.3 100 GOP
lSMC8.0AT3 8.0 8.89 1.0 13.6 110.3 50 GDR
lSMC8.5AT3 8.5 9.44 1.0 14.4 104.2 20 GOT
lSMC9.0AT3 9.0 10.0 1.0 15.4 97.4 10 GDV
lSMC10AT3 10 11.1 1.0 17.0 88.2 5.0 GDX
lSMCllAT3 11 12.2 1.0 18.2 82.4 5.0 GDZ
lSMC12AT3 12 13.3 1.0 19.9 75.3 5.0 GEE
lSMC13AT3 13 14.4 1.0 21.5 69.7 5.0 GEG
lSMC14AT3 14 15.6 1.0 23.2 64.7 5.0 GEK
lSMC15AT3 15 16.7 1.0 24.4 61.5 5.0 GEM
lSMC16AT3 16 17.8 1.0 26.0 57.7 5.0 GEP
lSMC17AT3 17 18.9 1.0 27.6 53.3 5.0 GER
lSMC18AT3 18 20.0 1.0 29.2 51.4 5.0 GET
lSMC20AT3 20 22.2 1.0 32.4 46.3 5.0 GEV
lSMC22AT3 22 24.4 1.0 35.5 42.2 5.0 GEX
lSMC24AT3 24 26.7 1.0 38.9 38.6 5.0 GEZ
lSMC26AT3 26 28.9 1.0 42.1 35.6 5.0 GFE
lSMC28AT3 28 31.1 1.0 45.4 33.0 5.0 GFG
lSMC30AT3 30 33.3 1.0 48.4 31.0 5.0 GFK
lSMC33AT3 33 36.7 1.0 53.3 28.1 5.0 GFM
lSMC36AT3 36 40.0 1.0 58.1 25.8 5.0 GFP
lSMC40AT3 40 44.4 1.0 64.5 23.2 5.0 GFR
lSMC43AT3 43 47.8 1.0 69.4 21.6 5.0 GFT
lSMC45AT3 45 50.0 1.0 72.7 20.6 5.0 GFV
lSMC48AT3 48 53.3 1.0 77.4 19.4 5.0 GFX
lSMC51AT3 51 56.7 1.0 82.4 18.2 5.0 GFZ
lSMC54AT3 54 60.0 1.0 87.1 17.2 5.0 GGE
1SMC58AT3 58 64.4 1.0 93.6 16.0 5.0 GGG
lSMC60AT3 60 66.7 1.0 96.8 15.5 5.0 GGK
lSMC64AT3 64 71.1 1.0 103 14.6 5.0 GGM
lSMC70AT3 70 77.8 1.0 113 13.3 5.0 GGP
lSMC75AT3 75 83.3 1.0 121 12.4 5.0 GGR
lSMC78AT3 78 86.7 1.0 126 11.4 5.0 GGT
(1) T3 suffix designates tape and reel of 2500 units.
(2) A transient suppressor is normally selected according to the reverse "Stand Off Voltage" (VR) which should be equal to or greater than the DC or continuous
peak operating voltage level.
(3) VSR measured at pulse test current IT at an ambient temperaure of 25°C.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-13 TVS/Zeners


TVS
Surface Mount Packages (continued)

Table 11. Peak Power Dissipation (1500 Watts @ 1 ms Surge - Figure 1) Case 403-03

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted) VF = 3.5 V Max, IF(3) = 100 A for all types.

Working Maximum Maximum Maximum


Breakdown Voltage(2) Peak Reverse Reverse Reverse Voltage Maximum
Reverse Leakage Surge @IRSM Temperature
VBR@iT
Volts Voltage @VRWM Current (Clamping Voltage) Coefficient
VRWM IR IRSM VRSM ofVBR Device
Device(1) Min Nom Max rnA Volts !LA Amps Volts %1°C Marking
1.5SMC6.8AT3 6.45 6.8 7.14 10 5.8 1000 143 10.5 0.057 6V8A
1.5SMC8.2AT3 7.79 8.2 8.61 10 7.02 200 124 12.1 0.065 8V2A
1.5SMC9.1AT3 8.65 9.1 9.55 1 7.78 50 112 13.4 0.068 9V1A
1.5SMC10AT3 9.5 10 10.5 1 8.55 10 103 14.5 0.073 10A
1.5SMC11AT3 10.5 11 11.6 1 9.4 5 96 15.6 0.075 11A
1.5SMC12AT3 11.4 12 12.6 1 10.2 5 90 16.7 0.078 12A
1.5SMC13AT3 12.4 13 13.7 1 11.1 5 82 18.2 0.081 13A
1.5SMC15AT3 14.3 15 15.8 1 12.8 5 71 21.2 0.084 15A
1.5SMC18AT3 17.1 18 18.9 1 15.3 5 59.5 25.2 0.088 18A
1.5SMC22AT3 20.9 22 23.1 1 18.8 5 49 30.6 0.092 22A
1.5SMC24AT3 22.8 24 25.2 1 20.5 5 45 33.2 0.094 24A
1.5SMC27AT3 25.7 27 28.4 1 23.1 5 40 37.5 0.096 27A
1.5SMC30AT3 28.5 30 31.5 1 25.6 5 36 41.4 0.097 30A
1.5SMC33AT3 31.4 33 34.7 1 28.2 5 33 45.7 0.098 33A
1.5SMC36AT3 34.2 36 37.8 1 30.8 5 30 49.9 0.099 36A
1.5SMC39AT3 37.1 39 41 1 33.3 5 28 53.9 0.1 39A
1.5SMC43AT3 40.9 43 45.2 1 36.8 5 25.3 59.3 0.101 43A
1.5SMC47AT3 44.7 47 49.4 1 40.2 5 23.2 64.8 0.101 47A
1.5SMC51AT3 48.5 51 53.6 1 43.6 5 21.4 70.1 0.102 51A
1.5SMC56AT3 53.2 56 58.8 1 47.8 5 19.5 77 0.103 56A
1.5SMC62AT3 58.9 62 65.1 1 53 5 17.7 85 0.104 62A
1.5SMC68AT3 64.6 68 71.4 1 58.1 5 16.3 92 0.104 68A
1.5SMC75AT3 71.3 75 78.8 1 64.1 5 14.6 103 0.105 75A
1.5SMC82AT3 77.9 82 86.1 1 70.1 5 13.3 113 0.105 82A
1.5SMC91AT3 86.5 91 95.5 1 77.8 5 12 125 0.106 91A
(1) T3 suffiX designates tape and reel of 2500 umts.
(2) VSR measured at pulse test current 'T at an ambient temperaure of 25°C.
(3) 1/2 sine wave (or equivalent square wave), PW = 6.3 ms, duty cycle = 4 pulses per minute maximum.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-14 Motorola Master Selection Guide


TVS
Overvoltage Transient Suppressors
Table 12. Overvoltage Transient Suppressors

Overvoltage transient suppressors are designed for protection against over-voltage conditions in the auto electrical system
including the "LOAD DUMP" phenomenon that occurs when the battery open circuits while the car is running.

OVERVOLTAGE TRANSIENT SUPPRESSOR

CASE 194-04 CASE 194-04


MR2535L

VRRM (Volts) 20
10 (Amp) 35
V(BR) (Volts) 24--32
IRSM(30)
110
(Amp)

TC @ Rated 10
(OC) 150

T
175
rC)
(30) lime constant = 10 ms, duty cycle';; 1%, T C = 25°C.
Note: MR2535L is considered part of the rectifier product portfolio.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-15 TVS/Zeners


Zener Diodes
Voltage Regulator Diodes

Table 13. Axial Leaded for Through-hole Designs - 500 mW


SOOmW 500mW SOOmW
Nominal SOOmW
Low Level Low Level
Zener Cathode = SOOmW
Calhode= Calhode=
Breakdown Polarity Calhode = Polarity Band
Polarity Polarity Cathode = Polarity
Voltage Band
Band Band Band

("Note 1) ("Note 2) ("Note 3) ("Nota 4) ("NoleS) ("Note 6) ("Nota 7) ("NoleS) ("Nole9) ("Note 10) ("NoteS)

Volts Glass
Case 299-02
OQ-204AH
(oo-3S)

1.S 1N4678 MZ4614


2.0 1N4679 MZ4615
2.2 MZ4616
2.4 1N4370A 1N4681 1N52218 1N59858 8ZX55C2V4RL 8ZX79C2V4RL MZ4617
2.S 1N52228
2.7 1N4371A 1N4682 1N52238 8ZX55C2V7RL 8ZX79C2V7RL MZ4618 ZPD2.7RL
2.S
3.0 1N4372A 1N4683 1N52258 1N59878 BZX55C3VORL 8ZX79C3VORL MZ4619
3.3 lN746A 1N4684 lN52268 1N59888 8ZX55C3V3RL 8ZX79C3V3RL 8ZX83C3V3RL MZ4620

3.6 1N747A 1N4685 1N52278 1N59898 8ZX55C3V6RL 8ZX79C3V6RL 8ZX83C3V6RL ZPD3.6RL


3.9 1N748A 1N4686 lN522BB 1N59908 8ZX55C3V9RL MZ4622 MZ55208
4.3 1N749A 1N4687 1N52298 1N59918 8ZX55C4V3RL 8ZX79C4V3RL MZ4623 MZ55218
4.7 1N750A lN4688 1N52308 1N59928 8ZX55C4V7RL 8ZX79C4V7RL 8ZX83C4V7RL MZ4624 ZPD4.7RL
5.1 lN751A 1N4689 lN52318 lN59938 8ZX55C5V1 RL 8ZX79C5V1RL 8ZX83C5V1RL MZ4625 MZ55238 ZPD5.1RL
5.6 lN752A 1N4690 lN52328 lN59948 8ZX55C5V6RL 8ZX79C5V6RL MZ4626 MZ55248
6.0 1N52338
6.2 lN753A 1N4691 lN52348 1N59958 8ZX55C6V2RL 8ZX79C6V2RL BZX83C6V2RL MZ4627 MZ55258 ZPD6.2RL

6.8 1N754A 1N4692 lN5235B 1N59968 8ZX55C6V8RL 8ZX79C6V8RL MZ4099


1N9578

7.5 1N755A 1N4693 1N52368 1N59978 8ZX55C7V5RL MZ55278


S.2 1N756A 1N4694 lN52378 lN59988 8ZX55C8V2RL 8ZX79C8V2RL MZ41 01
1N9598

S.7 1N4695
9.1 1N757A 1N4696 1N52398 1N59998 8ZX55C9V1 RL MZ55298
10 1N758A 1N4697 lN52408 1N60008 8ZX55C10RL MZ41 04
1N9618

11 1N9628 1N4698 1N52418 8ZX55C11RL

12 1N759A 1N4699 lN52428 1N60028 8ZX55C12RL 8ZX79C12RL 8ZX83C12RL


1N9638

13 1N9648 1N4700 1N52438 1N60038 8ZX55C13RL


14 1N52448
15 1N9658 1N4702 lN52458 1N60048 8ZX55C15RL 8ZX79C15RL
16 1N9668 1N4703 lN52468 8ZX55C16RL 8ZX79C16RL
17 1N4704 1N52478
lS 1N9678 1N4705 1N52488

'See Notes on page 5.2-20.

Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners 5.2-16 Motorola Master Selection Guide


Zener Diodes
Voltage Regulator Diodes (continued)
Table 13. Axial Leaded for Through-hole Designs - 500 mW (continued)
500mW 500mW 500mW
Nominal 500mW
Low Level Low Level
Zener Cathode = 500mW
Cathode = Cathode =
Breakdown Polarity Cathode = Polarity Band
Voltage Band
Polarity Polarity Cathode = Polarity
Band Band Band

('Note 1) ('Note 2) ('Note 3) ('Note 4) ('Note 5) ('Note 6) ('Note 7) ('Note 8) ('Note 9) ('Note 10) ('Note 8)

Volts Glass
Case 299-02
0O-204AH
(00-35)

19 lN5249B
20 lN968B lN4707 1N5250B lN6007B BZX55C20RL
22 lN969B lN4708 lN5251B
24 lN970B lN5252B
25
27 lN971B lN5254B BZX55C27RL ZPD27RL

28 lN5255B
30 lN972B lN5256B ZPD30RL

33 lN973B lN5257B BZX79C33RL


36 lN974B lN5258B
39 lN975B lN5259B
43 lN976B lN5260B
47 lN977B lN5261B
51 lN978B lN5262B BZX55C51RL
56 lN5263B BZX79C56RL
60 lN5264B
62 lN980B lN5265B
68 lN981B lN5266B BZX55C68RL

75 lN982B lN5267B BZX55C75RL


82 BZX55C82RL
87
91 lN5270B lN6023B BZX55C91RL
100 lN985B lN5271B BZX79Cl00RL
110 lN986B lN5272B
120 lN987B lN5273B
130 lN988B lN5274B
140 lN5275B
150 lN989B lN5276B

160
170
180 lN991B lN5279B
190
200 lN992B lN5281B
220

240
270
300
330
360
400

'See Notes on page 5.2-20.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-17 1VS/Zeners


Zener Diodes
Voltage Regulator Diodes (continued)
Table 14. Axial Leaded for Through-hole Designs - 1, 1.3, 1.5, 3 and 5 Watt
1.5Watl
Nominal 1 Watt 1.3Watl 3Watl 5Watl
Zener
Cathode =
Breakdown Cathode = Cathode = Cathode = Cathode =
Polarity
Voltage Polarity Band Polarity Band Polarity Band Polarity Band
Band

(ONotel) ('Note 11) ('Note 12) (ONote 13) (ONoteI4) (ONote 15) (ONote 16) ('Note 17) (ONoteI8)

Volts

Plastic Plastic
Glass Surmetic30 Plastic
Glass Surmetic30
Case 59-03 Case 59-03 Surmetic40
Case 59-03 Case 59-03
(00-41) (00-41) Case17-Q2
(00-41) (00-41)

3.3 lN472SA MZP472SA 8ZXSSC3V3RL lNS9138 1NS3338

3.6 lN4729A MZP4729A BZX85C3V6RL 1NS3348


3.9 lN4730A 8ZXSSC3V9RL MZPY3.9RL MZ03.9RL 1NS335B
4.3 1N4731 A MZ04.3RL 3EZ4.3D5 1NS336B
4.7 lN4732A MZ04.7RL lN59178 1NS3378
5.1 1N4733A 8ZXSSCSV1RL MZPYS.1RL MZOS.1RL 1NS33S8
5.6 1N4734A MZP4734A 8ZXSSCSV6RL MZPYS.6RL MZOS.6RL 1NS3398
6.0 1NS340B
6.2 1N4735A MZP473SA MZ06.2RL 1N5920B 1NS3418

6.8 1N4736A 8ZXSSC6VSRL MZPY6.SRL MZ06.SRL lNS9218 1NS3428

7.5 lN4737A MZP4737A 8ZXBSC7VSRL MZPY7.SRL MZ07.SRL 1NS9228 3EZ7.S0S 1NS3438

8.2 1N4738A MZP473BA 8ZXSSCSV2RL MZPYS.2RL MZOB.2RL 3EZB.20S 1NS3448

8.7

9.1 lN4739A MZ09.1RL 1NS9248 3EZ9.10S 1NS3468

10 1N4740A MZP4740A 8ZXSSC10RL MZPY10RL MZ010RL 1NS92S8 3EZ100S 1NS3478

11 1N4741A MZP4741A MZPYllRL MZOllRL 3EZ11DS 1NS34B8

12 1N4742A BZXBSC12RL MZPY12RL MZ012RL 1NS9278 1NS3498

13 lN4743A MZ013RL 3EZ130S 1NS3508


14 3EZ140S 1NS3518
15 1N4744A MZP4744A 8ZXBSC1SRL MZPY1SRL MZ015RL 1N5929B 1NS3S28
16 1N4745A MZP4745A MZ016RL 1NS9308 1NS3S38
17 1NS3548
18 1N4746A MZP4746A 8ZXBSC1BRL MZPY1BRL MZD1BRL lNS9318 1NS3S58

19 3EZ190S lN53S68
20 1N4747A MZ020RL lN53578
22 1N4748A BZX85C22RL MZPY22RL MZ022RL 1NS9338 3EZ2205 lN535S8
24 1N4749A MZP4749A BZX85C24RL MZPY24RL MZ024RL lN53598
25 1NS3608
27 1N4750A MZP47SoA 8ZXB5C27RL MZPY27RL MZ027RL 1NS9358 3EZ270S lN53618

28 3EZ2S0S lN53628
30 1N4751A MZP4751A 8ZXB5C30RL MZ030RL lN53638
33 1N4752A MZP4752A 8ZX85C33RL MZ033RL 3EZ3305 lN5364B
36 lN47S3A MZP47S3A MZ036RL 1NS9388 3EZ360S 1NS3658
39 lN47S4A MZ039RL 1NS9398 lN53668
43 lN47SSA 8ZXSSC43RL MZPY43RL MZ043RL 3EZ430S lN5367B

47 lN47S6A BZX85C47RL MZPY47RL MZ047RL lN59418 3EZ4705 1NS36SB

51 lN47S7A MZ051 1NS369B


56 lN47SSA MZOS6 1NS943B 3EZ560S 1NS3708
60 lNS3718
62 lN47S9A MZ062 1NS372B
68 lN4760A MZ06S 3EZ6S0S 1NS3738
'See Notes on page 5.2-20.

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-18 Motorola Master Selection Guide


Zener Diodes
Voltage Regulator Diodes (continued)
Table 14. Axial Leaded for Through-hole Designs - 1, 1.3, 1.5, 3 and 5 WaH (continued)
1.5 Watt
Nominal 1 Walt 1.3 Walt 3 Walt 5 Walt
Zener
Cathode =
Breakdown Cathode = Cathode = Cathode = Cathode =
Voltage Polarity Band Polarity Band Polarity Polarity Band Polarity Band
Band

('Notel) ('Notell) ('Note 12) ('Note 13) ('Note 14) ('Note 15) ('Note16) ('Notel7) ('Note18)

Volts

Plastic Plastic
Glass Surmetic30 Plastic
Glass Surmetic30
Case 59-03 Case 59-03 Surmetic40
Case 59-03 Case 59-{13
(00-41) (0<l-41) Case 17-{12
(0<l-41) (0<l-41)

75 lN4761A 8ZX8SC7SRl MZD7S 1NS9468 3EZ7SDS 1NS3748


82 lN4762A MZPY82Rl MZD82 1NS37S8
87
91 lN4763A MZD91 3EZ91DS 1NS3778
100 lN4764A 8ZX8SC100Rl MZPY100Rl MZD100 1NS378B
110 MZDll0 3EZll0DS

120 MZD120 lNS9S1B 3EZ120DS 1NS380B


130 MZD130 3EZ130DS lNS381B
140 3EZ140DS
150 1NS9S3B 1NS383B
160 1NS9S4B 3EZ160DS 1NS384B
170

180 MZD180 tN5955B 1NS3868


190 3EZ190DS
200 1NS9S6B 3EZ200DS 1NS388B
220 3EZ220DS
240 3EZ240DS
270

300
330 3EZ330DS
360
400 3EZ400DS

'See Notes on page S.2-20.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-19 TVSlZeners


Zener Diodes
Notes - Axial Leaded Chart
1. Zener Vol1age is the key parameter for each device type. It is 9. MZ4614-27 IZT = 250 !!A (T.E.).
specified at a particular test current applied at either thermal MZ4099-4104 IZT = 250 !!A (T.E.).
equilibrium (T.E.) or pulse test condition. The voltage tolerance for Tolerance is ±5%.
the device types listed is, in general, ±5%; however, for some
series, the voltage tolerance varies from device type to device type 10. MZ55208-21B IZT = 20 mA (T.E.).
over a range of ± (5 to 8.5)%. Consult the complete data sheet to
MZ5523B IZT = 5 mA (T.E.).
determine the exact test conditions and minimum/maximum limits
MZ5524B IZT = 3 mA (T.E.).
for the zener voltage. Consult Application Note AN924 regarding
measurement of Zener Voltage (pulse versus thermal equilibrium). MZ5525B-29B IZT = 1 mA (T.E.).
Tolerance is ±5%.
Power Ratings represent the capability of the case size listed as
Also has delta Vz parameter and limit.
supplied by Motorola. These ratings may be higher than the
JEDEC registration and/or the same device types supplied by 11.1N4728A-64A
other manufacturers. (On tight tolerance devices, please consult
IZT @ approximately 250 mW point (T.E.).
factory on availability.)
A suffix = ±5%.
C suffix = ±2%.
Vz Test Conditions And Tolerances Dsuffix=±1%.
2. 1N4370Al1 N746A Series
12. MZP4728A-53A
IZT = 20 mA (T.E.).
A suffix = ±5%. IZT @ approximately 250 mW point (T.E.).
C suffix = ±2%. MZP Series A suffix = ±5%.
D suffix =±1%.
13. BZX85C3V3-C100RL
1N!J57B Series
IZT varies from 185 mW to 300 mW point depending on type
IZT @ approximately 125 mW point (T.E.). number (pulse).
B suffix = ±5%. C indicates ±(5 to 8.5)% depending on type number.
C suffix = ±2%.
Replace C with B for ±2%.
D suffix =±1%.
3. 1N4678 Series IZT = 50 !!A (T.E.). 14. MZPY3.9-8.2RL IZT = 100 mA (pulse).
No suffix = ±5%. MZPY10-15RL IZT = 50 mA (pulse).
C suffix = ±2%. MZPY18-27RL IZT = 25 mA (pulse).
D suffix =±1%. MZPY43-82RL IZT = 10 mA (pulse).
Also has delta Vz parameter and limit. MZPY100RL IZT = 5 mA (pulse).
4. 1N5221 8-42B IZT = 20 mA (T.E.). No suffix tolerance is approximately ±(5 to 8.5)% depending on
1N5243B-81 B IZT @ approximately 125 mW point (T.E.). type number.
B suffix = ±5%. C suffix = ±2%.
C suffix = ±2%. D suffix =±1%.
D suffix =±1%.
5. 1N5985B-6013B IZT = 5 mA (T.E.). 15. MZD3.9-8.2 IZT= 100 mA (pulse).
1N6017B-23B IZT = 2 mA (T.E.). MZD9.1-15 IZT = 50 mA (pulse).
B suffix = ±5%. MZD16-33 IZT = 25 mA (pulse).
C suffix = ±2%. MZD36-82 IZT= 10 mA (pulse).
D suffix =±1%. MZD91-180 IZT = 5 mA (pulse).
6. BZX55C2V4-C27RL IZT = 5 mA (T.E.). Tolerance is ± (5 to 8.5)% depending on type number.
BZX55C51-C82RL IZT = 2.5 mA (T.E.).
BZX55C91RL IZT = 1 mA (T.E.). 16.1N5913B-56B
C indicates ± (5 to 8.5)% depending on type number. IZT @ approximately 375 mW point (T.E.).
Replace C with B for ±2%. B suffix = ±5%.
7. BZX79C2V4-C16RL IZT = 5 mA (pulse). 17.3EZ4.3D5-400D5
BZX79C33-C56RL IZT = 2 mA (pulse).
IZT @ approximately 750 mW point (pulse).
BZX79C100 IZT = 1 mA (pulse).
Suffix 5 = ±5%.
C indicates ±(5 to 8.5)% depending on type number.
Replace C with B for ±2%. 18. 1N5333B-88B
Replace C with A for±1%.
IZT varies from 0.9 to i.5 W point depending on type number
8. BZX83C3V3-C12RL IZT = 5 mA (pulse). (pulse)
ZPD2.7-30RL IZT =5 mA (pulse). B suffix = ±5%.
Tolerance is ±(5 to 8.5)% depending on type number. Also has delta Vz parameter and limit.

TVSlZeners 5.2-20 Motorola Master Selection Guide


Zener Diodes
Voltage Regulator Diodes (continued)

Table 15. Surface Mount Packages


Nominal 225mW 500mW 500mW 500mW 1.5 Walt 3 Walt
Zener Surface Mount Surface Mount Low Level Surface Mount Surface Mount Surface Mount
Breakdown Surface Mount
Voltage

..
SOT-23 SOO-123 SOO-123 SOo-123 SMA 5MB
('Note 1) ('Note 2) ('Note 3) ('Note 4) ('Note 5) ('Note 6) ('Note 7) ('Note 8)

Volts

1.8
2.0
Anode


Plastic
Case 318-08
TO-236AB
Cathode

No Connection

-
~
Plastic
Case 425-04, Style 1

MMSZ4678Tl
MMSZ4679Tl
•Plastic
Case 403B-Ol
Plastic
Case 403A-03
Cathode = Notch

2.2 MMSZ4680Tt
2.4 BZX84C2V4LTl MMBZ5221BLTl MMSZ2V4Tl MMSZ4681Tl MMSZ5221BTt
2.5 MMBZ5222BLTl MMSZ5222BTt
2.7 BZX84C2V7LTl MMSZ2V7Tl MMSZ4682Tl MMSZ5223BTl
2.8 MMSZ5224BTI
3.0 BZX84C3VOLTI MMBZ5225BLTI MMSZ3VOTI MMSZ4683Tl MMSZ5225BT1
3.3 BZX84C3V3LTI MMBZ5226BLTI MMSZ3V3Tl MMSZ4684Tl MMSZ5226BTl 1SMA5913BT3 15MB5913BT3

3.6 BZX84C3V6LTl MMSZ3V6Tl MMSZ4685T1 MMSZ5227BTl 1SMA5914BT3


3.9 BZX84C3V9LTl MMBZ5228BLTt MMSZ3V9Tl MMSZ4686Tl MMSZ5228BTl 1SMA5915BT3 15MB5915BT3
4.3 BZX84C4V3LTl MMBZ5229BLTl MMSZ4V3Tl MMSZ4687Tl MMSZ5229BTt 1SMA5916BT3 15MB5916BT3
4.7 BZX84C4V7LTt MMBZ5230BLTl MMSZ4V7Tl MMSZ4688Tt MMSZ5230BTt 1SMA5917BT3 15MB5917BT3
5.1 BZX84C5V1LTt MMBZ5231BLTt MMSZ5V1Tt MMSZ4689Tt MMSZ5231BTt 1SMAS918BT3 15MB5918BT3
5.6 BZX84C5V6LTt MMBZ5232BLTt MMSZ5V6Tt MMSZ4690Tt MMSZ5232BTt 1SMA5919BT3 15MB5919BT3
6.0 MMBZ5233BLTI MMSZ5233BTl
6.2 BZX84C6V2LTt MMBZ5234BLTt MMSZ6V2Tt MMSZ4691Tl MMSZ5234BTt 1SMA5920BT3 15MB5920BT3

6.8 BZX84C6V8LTl MMBZ5235BLTt MMSZ6V8Tl MMSZ4692Tl MMSZ5235BTt 1SMA5921 BT3 15MB5921BT3

7.5 BZX84C7V5LTl MMBZ5236BLTl MMSZ7V5Tl MMSZ4693Tl MMSZ5236BTl 1SMA5922BT3 15MB5922BT3

8.2 BZX84C8V2LTl MMBZ5237BLTl MMSZ8V2Tl MMSZ4694Tl MMSZ5237BTl 1SMA5923BT3 15MB5923BT3

8.7 MMSZ4695Tl MMSZ5238BTl

9.1 BZX84C9Vl LTI MMBZ5239BLTl MMSZ9V1Tl MMSZ4696Tl MMSZ5239BTl 1SMA5924BT3 15MB5924BT3

10 BZX84Cl0LTl MMBZ5240BLTt MMSZ10Tl MMSZ4697Tl MMSZ5240BTt 1SMA5925BT3 15MB5925BT3

11 BZX84Cl1LTl MMBZ5241BLTl MMSZ11Tl MMSZ4698Tl MMSZ5241 BTl 1SMA5926BT3 15MB5926BT3

12 BZX84C12LTt MMBZ5242BLTl MMSZ12Tl MMSZ4699Tl MMSZ5242BTt 1SMA5927BT3 15MB5927BT3

13 BZX84C13LTl MMBZ5243BLTl MMSZ13Tl MMSZ4700Tl MMSZ5243BTI 1SMA5928BT3 15MB5928BT3


14 MMBZ5244BLTl MMSZ4701Tl MMSZ5244BTI
15 BZX84C15LTl MMBZ5245BLTt MMSZ15Tl MMSZ4702Tl MMSZ5245BTt 1SMA5929BT3 15MB5929BT3
16 BZX84C16LTl MMBZ5246BLTl MMSZ16Tl MMSZ4703Tl MMSZ5246BTt 1SMA5930BT3 15MB5930BT3
17 MMBZ5247BLTl MMSZ4704Tl MMSZ5247BTl
18 BZX84C18LTt MMBZ5248BLTt MMSZ18Tt MMSZ4705Tl MMSZ5248BTt 1SMA5931BT3 1SM B5931 BT3

19 MMBZ5249BLTl MMSZ4706Tl MMSZ5249BTl


20 BZX84C20LTl MMBZ5250BLTt MMSZ20Tl MMSZ4707Tl MMSZ5250BTt 1SMA5932BT3 15MB5932BT3
22 BZX84C22LTl MMBZ5251 BLTI MMSZ22Tl MMSZ4708Tl MMSZ5251 BTl 1SMA5933BT3
24 BZX84C24LTl MMBZ5252BLTl MMSZ24Tl MMSZ4709Tl MMSZ5252BTt 1SMA5934BT3 15MB5934BT3
25 MMSZ4710Tl MMSZ5253BTl
27 BZX84C27LTl MMBZ5254BLTt MMSZ27Tl MMSZ4711Tl MMSZ5254BTl 1SMA5935BT3 15MB5935BT3

'See Notes page 5.2-23.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-21 TVS/Zeners


Zener Diodes
Voltage Regulator Diodes (continued)

Table 15. Surface Mount Packages (continued)


Nominal 22SmW 500mW SOOmW SOOmW 1.SWat! 3Wat!
Zener Surface Mount Surface Mount Low Level Surface Mount Surface Mount Surface Mount
Breakdown Surface Mount
Voltage

.. •
SOT-23 500-123 500-123 500-123 SMA 5MB
('Note 1) ('Note 2) ('Note 3) ('Note 4) ('NoteS) ('NoteS) ('Note 7) ('Note 8)

Volts

28
30
Anode

BZX84C30LTI

Plastic
Case 318-08
TO-23SAB
Cathode

No Connection

MMBZ5255BLTI
MMBZ5256BLTI MMSZ30Tl
--
~'.
Plastic
Case 42S-04. Style 1

MMSZ4712Tl
MMSZ4713Tl
MMSZ5255BTI
MMSZ5256BTI
Plastic
Case 403B-Ol

1SMA5936BT3
Plastic
Case 403A-03
Cathode = Notch

15MB5936BT3
33 BZX84C33LTI MMBZ5257BLTI MMSZ33Tl MMSZ4714Tl MMSZ5257BTI 1SMA5937BT3 15MB5937BT3
36 BZX84C36LTI MMBZ5258BLTI MMSZ36Tl MMSZ4715Tl MMSZ5258BTI 1SMA5938B1'3 15MB5938BT3
39 BZX84C39LTI MMBZ5259BLTI MMSZ39T1 MMSZ4716Tl MMSZ5259BTI 1SMA5939BT3 15MB5939BT3
43 BZX84C43LTI MMSZ43Tl MMSZ4717Tl MMSZ5260BTI 1SMA5940BT3 15MB5940BT3

47 BZX84C47LTI MMBZ5261 BLTI MMSZ47Tl MMSZ5261BTI 1SMA5941 BT3 15MB5941 BT3


Sl BZX84C51 LTI MMBZ5262BLTI MMSZ51Tl MMSZ5262BTI 1SMA5942BT3 15MB5942BT3
S6 BZX84C56LTt MMBZ5263BLTI MMSZ56Tl MMSZ5263BTI 1SMA5943BT3 I 5MB5943BT3
SO MMSZ5264BTI
62 BZX84C62LTt MMBZ5265BLTI MMSZ62Tl MMSZ5265BTI 1SMA5944BT3 15MB5944BT3
68 BZX84C68LTI MMBZ5266BLTI MMSZ68Tl MMSZ5266BTI 1SMA5945BT3 15MB5945BT3

75 BZX84C75LTI MMSZ75Tl MMSZ5267BTI 15MB5946BT3


82 MMBZ5268BLTI MMSZ5268BTI 15MB5947BT3
87 MMBZ5269BLTI MMSZ5269BTI
91 MMBZ5270BLTI MMSZ5270BTI
100 MMSZ5271 BTl 15MB5949BT3
110 15MB5950BT3
120 15MB5951 B1'3
130 15MB5952BT3
150 15MB5953BT3
160 15MB5954BT3
170
180
200

'See Notes on page 5.2-23.

Devices listed in bold. italic are Motorola preferred devices.

TVS/Zeners 5.2-22 Motorola Master Selection Guide


Zener Diodes
Notes - Surface Mount Chart
1. Zener Voltage is the key parameter for each device type. It is speci- 4. MMSZ2V4-24T1 IZT =5 rnA (pulse).
fied at a particular test current applied at either thermal equilibrium MMSZ27-56T1 IZT =2 rnA (pulse).
(T.E.) or pulse test condition. The voltage tolerance for the device Tolerance is ±(5 to 8.5)% depending on type number. Each device
types listed is, in general ±5%; however, for some series, the type also has other Vz min/max limits at two other IZT pulse current
voltage tolerance varies from device type to device type over a values.
range of ±(5 to 8.5)%. Consult the complete data sheet to deter-
mine the exacttestconditions and minimum/maximum limits forthe 5. MMSZ467BTt Series IZT = 50 !1A (T.E.).
zener voltage.
No suffix = ±5%.
Power Ratings represent the capability of the case size listed as
6. MMSZ5221B-42BT1 IZT = 20 rnA (T.E.).
supplied by Motorola. These ratings may be higher than the same
MMSZ5243B-63BTt
device types supplied by other manufacturers.
IZT @ approximately 125 mW point (T.E.).
Vz TEST CONDITIONS AND TOLERANCES A suffix = ±10%.
B suffix = ±5%.
2. BZXB4C2V4L-C24LT1 IZT = 5 rnA (pulse).
BZXB4C27L-C75LTt 7. 1SMA5913BT3 Series
IZT = 2 rnA (pulse). IZT @ approximately 375 mW point (T.E.).
Tolerance is ±(5 to 8.5)% depending on type number. Each device BT3 suffix = ±5%.
type also has otherVZ min/max limits at two other IZT pulse current T3 suffix designates tape and reel of 2500 units.
values.
8. 1SMB5913BT3 Series
3. MMBZ5221BL-42BLTtIZT = 20 rnA (pulse). IZT @ approximately 750 mW point (T.E.).
MMBZ5243BL-70BLTt BT3 suffix = ±5%.
IZT @ approximately 125 mW point (pulse). T3 suffix designates tape and reel of 2500 units.
BL suffix = ±5%.

Motorola Master Selection Guide 5.2-23 TVS/Zeners


Zener Diodes
Table 16. 225 mW Rating on FR-5 Board - Case 318-08 - SOT-23

'.' 14
0 0
3 1
Cathode Anode
CASE 318-{)8, STYLE 8
2 SOT-23 (TQ-236AB)
PLASTIC

ELECTRICAL CHARACTERISTICS (Pinout: 1-Anode, 2-NC, 3-Cathode) (VF = 0.9 V Max @ IF = 10 rnA for all types)
Zener Voltage Max Zener Voltage Zener Voltage
VZ l (VailS) Reverse VZ2(VollS) VZ3(VoHs) dV'lfdt
@IZT1=SmA Max Zener Leakage @IZT2=lmA MaxZene, @1ZT3=20mA MaxZenet (mVI\<)
(1) Impedance Current (1) Impedance (1) Impedance @lm=SmA
~.!
ZZTl ZZT2 ZZT3
(Ohms) IR @ VR (Ohms) (Ohm.)
'I\Ipe @IZT1= mA @IZT12= @1ZT3= @VR=O
Number MarkIng Nom Min Max SmA Volts Min Max lmA Min Max 20mA Min Max 1=1 MHz
BZX64C2V4LT1 211 2.4 2.2 2.6 100 50 1 1.7 2.1 600 2.6 3.2 50 -3.5 a 450
B2X84C2V7LTl 212 2.7 2.5 2.9 100 20 1 1.9 2.4 600 3 3.6 50 -{j.5 a 450
B2X84C3VOLT1 Z13 3 2.8 3.2 95 10 1 2.1 2.7 600 3.3 3.9 50 -{j.5 a 450
BZX84C3V3LT1 214 3.3 3.1 3.5 95 5 1 2.3 2.9 600 3.6 4.2 40 -{j.5 a 450
BZX84C3V6LT1 Z15 3.6 3.4 3.8 90 5 1 2.7 3.3 600 3.9 4.5 40 -{j.5 a 450

BZX84C3V9LT1 Z16 3.9 3.7 4.1 90 3 1 2.9 3.5 600 4.1 4.7 30 -{j.5 -2.5 450
BZX84C4V3LTl W9 4.3 4 4.6 90 3 1 3.3 4 600 4.4 5.1 30 -{j.5 a 450
BZXB4C4V7LTf Zl 4.7 4.4 5 80 3 2 3.7 4.7 500 4.5 5.' 15 -{j.5 0.2 260
BZXB4C5V1LT1 Z2 5.1 4.8 5.4 60 2 2 '.2 5.3 480 5 5.9 15 -2.7 1.2 225
BZX84C5V6LT1 Z3 5.6 5.2 6 40 1 2 4.8 6 400 5.2 6.3 10 -2.0 2.5 200

BZX84C6V2LTf Z4 6.2 5.8 6.6 10 3


• 5.6 6.6 150 5.8 6.8 6 0.4 3.7 185
BZX84C6V8LTl
BZX84C7V5LTl
Z5
Z6
6.8
7.5
6.'
7
7.2
7.9
15
15
2
1

5
6.3
6.9
7.2
7.9
80
80
6.4
7
7.4
8
6
6
1.2
2.5
4.5
5.3
155
140
BZX84C8V2LTl Z7 8.2 7.7 8.7 15 0.7 5 7.6 8.7 80 7.7 8.8 6 3.2 6.2 135
BZX84C9V1LTl Z8 9.1 8.5 9.6 15 0.5 6 8.4 9.6 100 8.5 9.7 8 3.8 7.0 130

BZX84Cl0LTl Z9 10 9.4 10.6 20 0.2 7 9.3 10.6 150 9.4 10.7 10 4.5 8.0 130
BZX84Cll LTl Yl 11 10.4 11.6 20 0.1 8 10.2 11.6 150 10.' 11.8 10 5.4 9.0 130
BZXB4C12LTf Y2 12 11.4 12.7 25 0.1 8 11.2 12.7 150 11.4 12.9 10 6.0 10.0 130
BZX84C13LTl Y3 13 12.4 14.1 30 0.1 8 12.3 14 170 12.5 14.2 15 7.0 11.0 120
BZX84C15LTl Y4 15 13.8 15.6 30 0.05 10.5 13.7 15.5 200 13.9 15.7 20 9.2 13.0 110

BZX84C16LTl Y5 16 15.3 17.1 40 0.05 11.2 15.2 17 200 15.4 17.2 20 10.4 14.0 105
BZX84C1BLTf Y6 18 16.8 19.1 45 0.05 12.6 16.7 19 225 16.9 19.2 20 12.4 16.0 100
BZX84C20LTl Y7 20 18.8 21.2 55 0.05 14 18.7 21.1 225 18.9 21.4 20 14.4 18.0 85
BZX84C22LTl Y8 22 20.S 23.3 55 0.05 15.4 20.7 23.2 250 20.9 23.4 25 16.4 20.0 85
BZX84C24LTl Y9 24 22.8 25.6 70 0.05 16.8 22.7 25.5 250 22.9 25.7 25 18.4 22.0 80

ZZT2
Zm Below ZZT3
Below @IZT4= Below dv'lfdl
VZ1 Below @IZT1= VZ2 Below a.SmA VZ3Below @1ZT3= (mVI\<) Below
@IZT1=2mA 2mA @IZ12=O.l (2) @1zr3=10mA lOrnA @Im =2mA
mA

BZX84C27LTl Yl0 27 25.1 28.9 80 0.05 18.9 25 28.9 300 25.2 29.3 45 21.4 25.3 70
BZX84C3OLTl Yll 30 28 32 80 0.05 21 27.8 32 300 28.1 32.4 50 24.4 29.4 70
BZX84C33LT1 Y12 33 31 35 80 0.05 23.1 30.8 35 325 31.1 35.4 55 27.4 33.4 70
BZX84C36LTl Y13 36 34 38 90 0.05 25.2 33.8 38 350 34.1 38.4 60 30.4 37.4 70
BZX84C39LTl Y14 39 37 41 130 0.05 27.S 35.7 41 350 37.1 41.5 70 33.4 41.2 45

BZX84C43LTl Y15 43 40 46 150 0.05 30.1 39.7 46 375 40.1 46.5 80 37.6 46.6 40
BZX84C47LTl Y16 47 44 50 170 0.05 32.9 43.7 50 375 44.1 50.5 90 42.0 51.8 40
BZX84C51 LTl Y17 51 48 54 180 0.05 35.7 47.6 54 400 48.1 54.6 100 46.6 57.2 40
BZX84C56LTl Y18 56 52 60 200 0.05 39.2 51.5 60 425 52.1 60.8 110 52.2 63.8 40
BZX84C62LTl Y19 62 58 66 215 0.05 43.4 57.4 66 450 58.2 67 120 58.8 71.6 35

BZX84C68LTl Y20 68 64 72 240 0.05 47.6 63.4 72 475 64.2 73.2 130 65.6 79.8 35
BZX84C75LTl Y21 75 70 79 255 0.05 52.5 69.4 79 500 70.3 80.2 140 73.4 89.6 35

(1) Vz Is measured with a pulse test current (IZT) applied at an ambient temperature of 25°C.
(2) The zener impedance, ZZT2, for the 27 through 75 volt types Is tested atO.5 rnA rather than the test current of 0.1 rnA used forVZ2'

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-24 Motorola Master Selection Guide


Zener Diodes

Table 17. 225 mW Rating on FR-5 Board - Case 318-08 - SOT-23

'.'
0 )~ 0
3 1
Cathode Anode
CASE 318-08, STYLE 8
2 SOT-23 (TQ-236AB)
PLASTIC

ELECTRICAL CHARACTERISTICS (Pinout: 1-Anode, 2-NC, 3-Cathode) (VF = 0.9 V Max @ IF = 10 mA for all types.)
Test Zener ZZT
Current Voltage ZZK IZ=IZT Max
IZT VZ(±5%) IZ=0.25mA @ 10% Mode IR @ VR
Device Marking mA Nominal(1) QMax QMax itA V
MMBZ5221BLTl 18A 20 2.4 1200 30 100 1
MMBZ5222BLTl 18B 20 2.5 1250 30 100 1
MMBZ5225BLTl 18E 20 3 1600 29 50 1
MMBZ5226BLTl 8A 20 3.3 1600 28 25 1
MMBZ5228BLTf 8C 20 3.9 1900 23 10 1
MMBZ5229BLTl 80 20 4.3 2000 22 5 1
MMBZ5230BLTl 8E 20 4.7 1900 19 5 2
MMBZ5231BLTf 8F 20 5.1 1600 17 5 2
MMBZ5232BLT1 8G 20 5.6 1600 11 5 3
MMBZ5233BLTl 8H 20 6 1600 7 5 3.5
MMBZ5234BLTf 8J 20 6.2 1000 7 5 4
MMBZ5235BLTf 8K 20 6.8 750 5 3 5
MMBZ5236BLTl 8L 20 7.5 500 6 3 6
MMBZ5237BLTl 8M 20 8.2 500 8 3 6.5
MMBZ5239BLTl 8P 20 9.1 600 10 3 7
MMBZ5240BLTf 80 20 10 600 17 3 8
MMBZ5241BLTl 8R 20 11 600 22 2 8.4
MMBZ5242BLTf 8S 20 12 600 30 1 9.1
MMBZ5243BLTl 8T 9.5 13 600 13 0.5 9.9
MMBZ5244BLTl 8U 9 14 600 15 0.1 10
MMBZ5245BLTf 8V 8.5 15 600 16 0.1 11
MMBZ5246BLTl 8W 7.8 16 600 17 0.1 12
MMBZ5247BLTl 8X 7.4 17 600 19 0.1 13
MMBZ5248BLTf 8Y 7 18 600 21 0.1 14
MMBZ5249BLTl 8Z 6.6 19 600 23 0.1 14
MMBZ5250BLTf 81A 6.2 20 600 25 0.1 15
MMBZ5251BLTl 81B 5.6 22 600 29 0.1 17
MMBZ5252BLTl 81C 5.2 24 600 33 0.1 18
MMBZ5254BLT1 81E 4.6 27 600 41 0.1 21
MMBZ5255BLTl 81F 4.5 28 600 44 0.1 21
MMBZ5256BLTl 81G 4.2 30 600 49 0.1 23
MMBZ5257BLTf 81H 3.8 33 700 58 0.1 25
MMBZ5258BLTl 81J 3.4 36 700 70 0.1 27
MMBZ5259BLTl 81K 3.2 39 800 80 0.1 30
(1) Vz is measured al pulse lesl currenl (IZT) al an ambienllemperalure of 25°C.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-25 TVS/Zeners


Zener Diodes
Table 17. 225 mW Rating on FR-5 Board - Case 318-08 - SOT-23 (continued)

ELECTRICAL CHARACTERISTICS (Pinout: 1-Anode, 2-NC, 3-Cathode) (VF = 0.9 V Max @ IF = 10 rnA for all types.)
Test Zener ZZT
Current Voltage ZZK IZ=IZT Max
IZT VZ(±5%) IZ=0.25 rnA @ 10% Mode IR @ VR
Device Marking mA Nominal(l) o Max o Max !LA V
MMBZ5261 BLTl 81M 2.7 47 1000 105 0.1 36
MMBZ5262BLTl 81N 2.5 51 1100 125 0.1 39
MMBZ5263BLTl 81P 2.2 56 1300 150 0.1 43
MMBZ5265BLTl 81R 2 62 1400 185 0.1 47
MMBZ5266BLTl 81S 1.8 68 1600 230 0.1 52
MMBZ5268BLTl 81U 1.5 82 2000 330 0.1 62
MMBZ5269BLTl 81V 1.4 87 2200 370 0.1 68
MMBZ5270BLTl 81W 1.4 !Jl 2300 400 0.1 69
(1) Vz is measured at pulse test current (IZT) at an ambient temperature of 25'C.

Table 18. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - 500-123

81 CASE 425-04, STYLE 1


SOO-123
PLASTIC

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(l), (VF = 0.9 V Max. @ IF = 10 rnA for all types)

Zener Voltage
Max Zener Max Reverse
Vz ~ 'p;
Volts 1, ,3) Test Impedance(4) Leakage Test
Voltage Current Voltage
VR ZZT ZZK IR@VR VR
Type @ IZ= IZT @ IZK = 0.25 mA
Number Marking Nom Min Max Volts 0 Q !LA Volts
MMSZ5221BT1 Cl 2.4 2.28 2.52 20 30 1200 100 1
MMSZ5222BT1 C2 2.5 2.38 2.63 20 30 1250 100 1
MMSZ5223BTl C3 2.7 2.57 2.84 20 30 1300 75 1
MMSZ5224BTl C4 2.8 2.66 2.94 20 30 1400 75 1
MMSZ5225BT1 C5 3.0 2.85 3.15 20 30 1600 50 1

MMSZ5226BTl Dl 3.3 3.14 3.47 20 28 1600 25 1


MMSZ5227BT1 D2 3.6 3.42 3.78 20 24 1700 15 1
MMSZ5228BT1 D3 3.9 3.71 4.10 20 23 1900 10 1
MMSZ5229BT1 D4 4.3 4.09 4.52 20 22 2000 5 1
MMSZ5230BT1 D5 4.7 4.47 4.94 20 19 1900 5 2
(1) Nominal zener voltage is measured with the device junction in thermal equilibrium at T L = 30°C ± 1°C.
(2) All part numbers shown indicate a Vz tolerance of ±5%.
(3) Vz is measured at pulse test current (IZT) at an ambient temperature of 25'C.
(4) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied.
The specified limits are for IZ(AC) = 0.1 IZ(DC), with the A~ frequency = 1 kHz.

Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners 5.2-26 Motorola Master Selection Guide


Zener Diodes
Table 18. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - SOO-123 (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(1), (VF = 0.9 V Max. @ IF = 10 rnA for all types)

Zener Voltage
Max Reverse
Vz ~ T.;
Volts 1, ,3) Test
Max Zener
Impedance (4) Leakage Test
Voltage Curnent Voltage
VR ZZT ZZK IR@VR VR
Type @IZ=IZT @ IZK = 0.25 mA
Number Marking Nom Min Max Volts Q Q I1A Volts

MMSZ5231BT1 El 5.1 4.85 5.36 20 17 1600 5 2


MMSZ5232BT1 E2 5.6 5.32 5.88 20 11 1600 5 3
MMSZ5233BTl E3 6.0 5.70 6.30 20 7 1600 5 3.5
MMSZ5234BT1 E4 6.2 5.89 6.51 20 7 1000 5 4
MMSZ5235BT1 E5 6.8 6.46 7.14 20 5 750 3 5
MMSZ5236BTl Fl 7.5 7.13 7.88 20 6 500 3 6
MMSZ5237BTl F2 8.2 7.79 8.61 20 8 500 3 6.5
MMSZ5238BTl F3 8.7 8.27 9.14 20 8 600 3 6.5
MMSZ5239BTl F4 9.1 8.65 9.56 20 10 600 3 7
MMSZ5240BT1 F5 10 9.50 10.50 20 17 600 3 8
MMSZ5241 BTl Hl 11 10.45 11.55 20 22 600 2 8.4
MMSZ5242BT1 H2 12 11.40 12.60 20 30 600 1 9.1
MMSZ5243BT1 H3 13 12.35 13.65 9.5 13 600 0.5 9.9
MMSZ5244BTl H4 14 13.30 14.70 9.0 15 600 0.1 10
MMSZ5245BT1 H5 15 14.25 15.75 8.5 16 600 0.1 11
MMSZ5246BT1 Jl 16 15.20 16.80 7.8 17 600 0.1 12
MMSZ5247BT1 J2 17 16.15 17.85 7.4 19 600 0.1 13
MMSZ5248BT1 J3 18 17.10 18.90 7.0 21 600 0.1 14
MMSZ5249BTl J4 19 18.05 19.95 6.6 23 600 0.1 14
MMSZ5250BT1 J5 20 19.00 21.00 6.2 25 600 0.1 15
MMSZ5251 BTl Kl 22 20.90 23.10 5.6 29 600 0.1 17
MMSZ5252BT1 K2 24 22.80 25.20 5.2 33 600 0.1 18
MMSZ5253BT1 K3 25 23.75 26.25 5.0 35 600 0.1 19
MMSZ5254BT1 K4 27 25.65 28.35 4.6 41 600 0.1 21
MMSZ5255BT1 K5 28 26.60 29.40 4.5 44 600 0.1 21
MMSZ5256BT1 Ml 30 28.50 31.50 4.2 49 600 0.1 23
MMSZ5257BTl M2 33 31.35 34.65 3.8 58 700 0.1 25
MMSZ5258BTl M3 36 34.20 37.80 3.4 70 700 0.1 27
MMSZ5259BTl M4 39 37.05 40.95 3.2 80 800 0.1 30
MMSZ5260BT1 M5 43 40.85 45.15 3.0 93 900 0.1 33
MMSZ5261 BT1 Nl 47 44.65 49.35 2.7 105 1000 0.1 36
MMSZ5262BTl N2 51 48.45 53.55 2.5 125 1100 0.1 39
MMSZ5263BTl N3 56 53.20 58.80 2.2 150 1300 0.1 43
MMSZ5264BTl N4 60 57.00 63.00 2.1 170 1400 0.1 46
MMSZ5265BTl N5 62 58.90 65.10 2.0 185 1400 0.1 47
MMSZ5266BTl Pl 68 64.60 71.40 1.8 230 1600 0.1 52
MMSZ5267BTl P2 75 71.25 78.75 1.7 270 1700 0.1 56
MMSZ5268BTl P3 82 77.90 86.10 1.5 330 2000 0.1 62
MMSZ5269BTl P4 87 82.65 91.35 1.4 370 2200 0.1 68
MMSZ5270BTl P5 91 86.45 95.55 1.4 400 2300 0.1 69
(1) Nominal zener voltage is measured with the device junction in thermal equilibrium atTL = 30°C ± 1°C.
(2) All part numbers shown indicate a Vz tolerance of ±5%.
(3) Vz is measured at pulse test current (Izr) at an ambient temperature of 25°C.
(4) Zzr and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied.
The specified limits are for IZ(AC) = 0.1 IZ(DC), with the AC frequency = 1 kHz.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-27 TVSlZeners


Zener Diodes
Table 19. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - 800-123
ELECTRICAL CHARACTERISTICS (TA =25°C unless otherwise noted(l), (VF =0.9 V Max. @ IF =10 mA for ali types)

Zener Voltage Max Reverse Test


Vz @ IZT =50 I!A Leakage Voltage
Volts(l,2) Current VR
Type IR@VR
Number Marking Nom Min Max Volts
IlA
MMSZ4678Tl CC 1.8 1.71 1.89 7.5 1
MMSZ4679Tl CD 2.0 1.90 2.10 5 1
MMSZ4680Tt CE 2.2 2.09 2.31 4 1
MMSZ4681Tl CF 2.4 2.28 2.52 2 1
MMSZ4682Tl CH 2.7 2.57 2.84 1 1
MMSZ4683Tl CJ 3.0 2.85 3.15 0.8 1
MMSZ4684Tl CK 3.3 3.14 3.47 7.5 1.5
MMSZ4685Tt CM 3.6 3.42 3.78 7.5 2
MMSZ4686Tl CN 3.9 3.71 4.10 5 2
MMSZ4687Tl CP 4.3 4.09 4.52 4 2
MMSZ4688Tt CT 4.7 4.47 4.94 10 3
MMSZ4689Tt CU 5.1 4.85 5.36 10 3
MMSZ4690T1 CV 5.6 5.32 5.88 10 4
MMSZ4691Tl CA 6.2 5.89 6.51 10 5
MMSZ4692Tl CX 6.8 6.46 7.14 10 5.1
MMSZ4693Tl CY 7.5 7.13 7.88 10 5.7
MMSZ4694Tl CZ 8.2 7.79 8.61 1 6.2
MMSZ4695Tl DC 8.7 8.27 9.14 1 6.6
MMSZ4696Tl DD 9.1 8.65 9.56 1 6.9
MMSZ4697Tl DE 10 9.50 10.50 1 7.6
MMSZ4698Tl DF 11 10.45 11.55 0.05 8.4
MMSZ4699Tl DH 12 11.40 12.60 0.05 9.1
MMSZ4700Tl DJ 13 12.35 13.65 0.05 9.8
MMSZ4701Tl DK 14 13.30 14.70 0.05 10.6
MMSZ4702T1 DM 15 14.25 15.75 0.05 11.4
MMSZ4703T1 DN 16 15.20 16.80 0.05 12.1
MMSZ4704T1 DP 17 16.15 17.85 0.05 12.9
MMSZ4705T1 DT 18 17.10 18.90 0.05 13.6
MMSZ4706Tl DU 19 18.05 19.95 0.05 14.4
MMSZ4707Tl DV 20 19.00 21.00 0.01 15.2
MMSZ4708Tl DA 22 20.90 23.10 0.01 16.7
MMSZ4709Tl DZ 24 22.80 25.20 0.01 18.2
MMSZ4710Tl DY 25 23.75 26.25 0.01 19.00
MMSZ4711Tl EA 27 25.65 28.35 0.01 20.4
MMSZ4712Tl EC 28 26.60 29.40 0.Q1 21.2
MMSZ4713Tl ED 30 28.50 31.50 0.01 22.8
MMSZ4714Tl EE 33 31.35 34.65 0.01 25.0
MMSZ4715Tl EF 36 34.20 37.80 0.01 27.3
MMSZ4716Tl EH 39 37.05 40.95 0.01 29.6
MMSZ4717Tl EJ 43 40.85 45.15 0.01 32.6
.. .
(1) Nominal zener voltage IS measured wtth the device Junction In thermal equlllbnum at TL = 3Q°C ± 1°C.
(2) All part numbers shown indicate a Vz tolerance of ±5%.

Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners 5.2-28 Motorola Master Selection Guide


Zener Diodes
Table 20. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - SOD-123
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(I), (VF = 0.9 V Max. @ IF = 10 mA for all types)
Zener Voltage Max Zener Voltage
Max Zener Max Zener
VZ1 (Volts) Reverse VZ2 (Volts)
Impedance Leakage Impedance
@IZT1 =5mA @IZT2=1mA
(2,3) ZZT1 Current (3) ZZT2
@ IZT1 =5mA @IZT1 = 1 mA
Type (21) IR @ VR (4)
Number Marking Nom Min Max Q ~ Volts Min Max Q

MMSZ2V4T1 T1 2.4 2.28 2.52 100 50 1 1.7 2.1 600


MMSZ2V7T1 T2 2.7 2.57 2.84 100 20 1 1.9 2.4 600
MMSZ3VOT1 T3 3.0 2.85 3.15 95 10 1 2.1 2.7 600
MMSZ3V3T1 T4 3.3 3.14 3.47 95 5 1 2.3 2.9 600
MMSZ3V6T1 T5 3.6 3.42 3.78 90 5 1 2.7 3.3 600
MMSZ3V9T1 U1 3.9 3.71 4.10 90 3 1 2.9 3.5 600
MMSZ4V3T1 U2 4.3 4.09 4.52 90 3 1 3.3 4.0 600
MMSZ4V7T1 U3 4.7 4.47 4.94 80 3 2 3.7 4.7 500
MMSZ5V1T1 U4 5.1 4.85 5.36 60 2 2 4.2 5.3 480
MMSZ5V6T1 U5 5.6 5.32 5.88 40 1 2 4.8 6.0 400

MMSZ6V2T1 VI 6.2 5.89 6.51 10 3 4 5.6 6.6 150


MMSZ6V8T1 V2 6.8 6.46 7.14 15 2 4 6.3 7.2 80
MMSZ7V5T1 V3 7.5 7.13 7.88 15 1 5 6.9 7.9 80
MMSZ8V2Tl V4 8.2 7.79 8.61 15 0.7 5 7.6 8.7 80
MMSZ9V1T1 V5 9.1 8.65 9.56 15 0.5 6 8.4 9.6 100
MMSZ10Tl AI 10 9.50 10.50 20 0.2 7 9.3 10.6 150
MMSZ11T1 A2 11 10.45 11.55 20 0.1 8 10.2 11.6 150
MMSZI2T1 A3 12 11.40 12.60 25 0.1 8 11.2 12.7 150
MMSZ13T1 A4 13 12.35 13.65 30 0.1 8 12.3 14.0 170
MMSZ15T1 A5 15 14.25 15.75 30 0.05 10.5 13.7 15.5 200
MMSZ16Tl X1 16 15.20 16.80 40 0.05 11.2 15.2 17.0 200
MMSZ18T1 X2 18 17.10 18.90 45 0.05 12.6 16.7 19.0 225
MMSZ20Tl X3 20 19.00 21.00 55 0.05 14 18.7 21.1 225
MMSZ22Tl X4 22 20.80 23.10 55 0.05 15.4 20.7 23.2 250
MMSZ24Tl X5 24 22.80 25.20 70 0.05 16.8 22.7 25.5 250
(1) Nominal zener voltage is measured w~h the device junction in thermal equilibrium at T L = 30°C ± 1°C.
(2) All part numbers shown indicate a Vz tolerance of ±5%.
(3) Zener voltage is measured with the zener current applied for PW = 1.0 ms.
(4) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied.
The specffied limits are for IZ(AC) = 0.1 IZ(DC), with the AC frequency = 1 kHz.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-29 TVS/Zeners


Zener Diodes
Table 20. 500 mW Rating on FR-4 or FR-5 Board - Case 425-04 - S00-123 (continued)
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted(1), (VF = 0.9 V Max. @ IF = 10 mA for all types)

Zener Voltage Max Zener Voltage Max Zener


VZ1 (Volts) Max Zener Reverse VZ2(Volts) Impedance
@IZT1=2mA Impedance Leakage @IZT2=0.1 mA ZZT2
(2,3) ZZT1 Current (3) @IZT1 =0.5
@IZT1=2mA mA
Type (4) IR @ VR (4,5)
Number Marking Nom Min Max Q IJA Volts Min Max Q

MMSZ27T1 Y1 27 25.65 28.35 80 0.05 18.9 25 28.9 300


MMSZ30T1 Y2 30 28.50 31.50 80 0.05 21 27.8 32 300
MMSZ33T1 Y3 33 31.35 34.65 80 0.05 23.1 30.8 35 325
MMSZ36T1 Y4 36 34.20 37.80 90 0.05 25.2 33.8 38 350
MMSZ39T1 Y5 39 37.05 40.95 130 0.05 27.3 36.7 41 350
MMSZ43T1 Z1 43 40.85 45.15 150 0.05 30.1 39.7 46 375
MMSZ47T1 Z2 47 44.65 49.35 170 0.05 32.9 43.7 50 375
MMSZ51T1 Z3 51 48.45 53.55 180 0.05 35.7 47.6 54 400
MMSZ56T1 Z4 56 53.20 58.80 200 0.05 39.2 51.5 60 425
MMSZ62T1 Z5 62 58.90 65.10 215 0.05 43.4 57.4 66 450
MMSZ68T1 Z6 68 64.60 71.40 240 0.05 47.6 63.4 72 475
MMSZ75T1 Z7 75 71.25 78.75 255 0.05 52.5 69.4 79 500
(1) Nominal zener voltage is measured with the device junction in thennal equilibrium atTL = 30°C ± 1°C.
(2) All part numbers shown indicate a Vi. tolerance of ±5%
(3) Zener voltage is measured with the zener current applied for PW = 1.0 ms.
(4) ZZT and ZZK are measured by dividing the AC voltage drop across the device by the AC current applied. The specified (imits are for
IZ(AC) = 0.1 IZ(DC), with the AC frequency = 1 kHz
(5) The zener impedance, ZZT2, for the 27 through 75 volt types is tested at 0.5 rnA rather than the test current of 0.1 rnA used for VZ2'

Devices listed in bold, italic are Motorola preferred devices.

TVSlZeners 5.2-30 Motorola Master Selection Guide


Zener Diodes
Voltage Reference Diodes
Temperature Compensated
Reference Devices
For applications where output voltage must remain within narrow
limits during changes in input voltage, load resistance and
temperature. Motorola guarantees all reference devices to fall Glass
within the specified maximum voltage variations, tNZ, at the CASE 299-02
specifically indicated test temperatures and test current (JEDEC 00-204AH
Standard #5). Temperature coefficient is also specified but (00-35)
should be considered as a reference only - not a maximum
rating.
Cathode = Polarity Band
Devices in this table are hermetically sealed structures.

Table 21. Temperature Compensated Reference Devices


AVERAGE TEMPERATURE COEFFICIENT OVER THE OPERATING RANGE

O.Ol0/0/'"C 0.0050/0/'"C 0.0020/0/'"C O.OOl0/0/'"C 0.00050/0/'"C

Test Test(2) l1Vz l1Vz l1Vz l1Vz l1Vz


Vz Current Temp Device Max Device Max Device Max Device Max Device Max
Volts mAde Points Type Volts Type Volts Type Volts Type Volts Type Volts
6.2(1) 7.5 A lN821 0.096 lN823 0.048 lNB25 0.019 lN827 0.009 lN829 0.005
6.2(1) 7.5 A lN821A 0.096 lN823A 0.048 lN825A 0.019 lN827A 0.009 lN829A 0.005

(1) Non-suffix-ZZT = 15 ohms, "A" Suffix -ZZT= 10 ohms


(2) Test Temperature Points °C: A = -55. O. +25. + 75, +100

Current Regulator Diodes


High impedance diodes whose "constant current source" characteristic complements the "constant voltage" of the zener line.
Currents are available from 0.22 to 4.7 mA, with usable voltage range from a minimum limit of 1.0 to 2.5 Volts, up to a voltage
compliance of 100 Volts, for the 1N5283 series.
Table 22. Current Regulator Diodes

CASE 51.02
00-204AA - GLASS
(00-7)

ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)


Minimum Minimum
Regulator Current Oynamic Knee Maximum
Ip (mA) @ VT = 25 V Impedance Impedance Limiting Voltage
@VT=25V @VK=6.0V @ IL = 0.8 Ip (min)
Type No. Nom Min Max ZT(Mn) ZK(Mn) VL(Volts)
1N5283 0.22 0.198 0.242 25.0 2.75 1.00
1N5287 0.33 0.297 0.363 6.6 1.35 1.00
1N5297 1.00 0.900 1.100 0.800 0.205 1.35
1N5298 1.00 0.900 1.210 0.700 0.180 1.40
1N5305 2.00 1.80 2.20 0.395 0.061 1.85
1N5309 3.00 2.70 3.30 0.300 0.029 2.25
lN5310 3.30 2.97 3.63 0.280 0.024 2.35
lN5311 3.60 3.24 3.96 0.265 0.020 2.50
1N5312 3.90 3.51 4.29 0.255 0.017 2.60
1N5313 4.30 3.87 4.73 0.245 0.014 2.75
1N5314 4.70 4.23 5.17 0.235 0.012 2.90

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.2-31 TVS/Zeners


Devices listed in bold, italic are Motorola preferred devices.

TVS/Zeners 5.2-32 Motorola Master Selection Guide


Hybrid Power Module
Operation

In Brief ...
The Motorola Semiconductor Products Sector is proud to Page
Integrated Power Stage IGBT . . . . . . . . . . . . . . . . . . .. 5.3-2
announce the formation of a new group: Hybrid Power
Modules. Our operation has been in existence since August
of 1992, and we're chartering new ground to become the
world's fastest supplier of intelligent, energy efficient power
modules for motor drive and uninterruptable power supply
applications.
It's an exciting market, with 50 million motors being
manufactured per year, and fewer than 5% of those using
electronically controlled drives. Motorola Hybrid Power
Modules will play a major role in supplying those power
modules.
With Motorola's technology broadth, we're well
positioned to develop highly integrated, intelligent IGBT
(insulated gate bipolartransistor) power modules. The IGBT
technology combines high current handling capability with
low input current requirements in a smaller form factor which
enables the design of more compact inverters. We have the
capabilities to support custom modules (based on annual
volume requirements) and offer the fastest possible time to
market. Present integrated IGBT modules range from 5 to 30
amps, 600 and 1200 volts are also in our product portfolio.
Our plans for the future include a family of advanced
modules for applications in higher current and highervoltage
devices and control networks.
To summarize, we believe that we offer the leading edge
technology combined with a state-of-the-art flexible
manufacturing line and rapid cycle time that can give you the
unique ability to differentiate your products in this highly
competitive market.

Motorola Master Selection Guide 5.3-1 Hybrid Power Module Operation


Table 1. Integrated Power Stage IGBT
Maximum Ratings
VCES
(V) IC(A)
5 10 15 20-25 30
600 MHPM7A 15A60A MHPM7A20A60A MHPM7A30A60B
6194 10/94 5195

1200 MHPM7ABA120A MHPM7A 12A 120A MHPM7A 16A 120B MHPM7A25A 120B
7/94 1/95 5/95 9/95

CIRCUIT

Pl P2

DB Dl0 D12 D7
T+

~
Kl
R U
S B V
T W

D9 Dl1 D13

Nl N2 I- 1+

Includes sense resistor and temperature sensor.

Benefits of Motorola Integrated Power Stage


• combines a 3-phase input rectifier bridge, output inverter and brake transistor in one package
• utilizes Motorola's advanced 600 & 1200 V IGBTs with matched soft free-wheeling diodes
• positive and negative bus access to designer
• temperature and current sense integrated in module

Devices listed In bold, Italic are Motorola preferred devices.

Hybrid Power Module Operation 5.3-2 Motorola Master Selection Guide


TMOS Power MOSFETs
Products

In Brief ...
Motorola continues to build a world class portfolio of Page
TMOS Power MOSFETs with new advances in silicon and TMOS Power MOSFETs ........................ 5.4-1
packaging technology. The following new advances have TMOS Power MOSFETs Numbering System .... 5.4-2
been made in the area of silicon technology. HOTMOSTM Power MOSFETs ................. 5.4-3
• New high voltage devices with voltages up to TMOS V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-5
1200 volts. SMARTOISCRETES Products ................. 5.4-7
• New High Cell Oensity (HOTMOS) family of standard and N-Ghannel MOSFETs .. . . . . . . . . . . . . . . . . . . . . .. 5.4-8
Logic Level devices in both Nand P-channel are SO-8 MiniMOS ........................... 5.4-8
available in OPAK, 02PAK, TQ-220 and SO-8 surface SO-8 EZFET .. . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
mount packages and in the industry standard TQ-220 Micro8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-9
package. SOT-223 ................................ 5.4-9
OPAK .................................. 5.4-10
• New TMOS V fifth generation of Motorola Power MOSFET
02PAK ................................. 5.4-11
technology. This is a new processing technique that more
03PAK ................................. 5.4-12
than doubles the present cell density of our MOSFET
TQ-220AB .............................. 5.4-13
devices.
TQ-247 ................................. 5.4-15
• New Micr08 package is the smallest power MOSFET
TO-264 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-16
surface mount package.
P-Ghannel MOSFETs ....................... 5.4-17
• New EZFETTM surface mount power MOSFETs SO-8 MiniMOS .......................... 5.4-17
incorporate back to back zener diodes across the Micro8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-17
gate-to-source to enhance ESO protection. SOT-223 ............................... 5.4-18
• New IGBTs with high short circuit capability in TQ-220, OPAK .................................. 5.4-18
TO-247 and TO-264 packages. 02PAK ................................. 5.4-19
The following new advances have been made in the area TO-220AB .............................. 5.4-19
of packaging technology. Logic Level MOSFETs ....................... 5.4-20
• New SO-8 (MiniMOS) and SOT-223 packages to the SOT-223 ............................... 5.4-20
surface mount portfolio. OPAK .................................. 5.4-20
• New High Power packages capable of housing very large 02PAK ................................. 5.4-21
die and higher power dissipation are now available in the TQ-220AB .............................. 5.4-21
TQ-264 (formerly TO-3PBL) and SOT-227B (Isotop) Insulated Gate Bipolar Transistors (IGBTs) ..... 5.4-22
packages. N-Ghannel ... . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.4-22
• New 03PAK package allows the highest power dissipation Ignition IGBTs ......................... 5.4-22
of any standard, plastiC surface-mount power Standard and Copackaged IGBTs ........ 5.4-22
semiconductor.

Motorola Master Selection Guide 5.4-1 TMOS Power MOSFETs


TMOS
®
TMOS Power MOSFETs

TMOS Power MOSFETs Numbering System


Wherever possible, Motorola has used the following numbering system.s for TMOS power MOSFET products.

MTP75N06HD
MOTOROLA-------....JI 1
....._ - OPTIONAL SUFFIX:
X FOR ENGINEERING SAMPLES L FOR LOGIC LEVEL
TMOS E FOR ENERGY RATED
T FOR TMOS T4 FOR TAPE & REEL (DPAKlD2PAK)
L FOR SMARTDISCRETES RL FOR TAPE & REEL (DPAK)
G FOR IGBT HD FOR HIGH CELL DENSITY
V FOR TMOS V (FIVE)

PACKAGETYPE--------~
' - - - - - - VOLTAGE RATING DIVIDED BY 10
P FOR PLASTIC T0-220
o FOR DPAK
A FOR T0-220 ISOLATED ' - - - - - - - CHANNEL POLARITY, NOR P
WFORTO-247
B FOR D2PAK
Y FOR TO-264
E FOR SOT-227B
Example of exceptions: MTD/MTP3055E
V FOR D3PAK MTDIMTP2955E

CURRENT--------------------'

L
SO-8 (MiniMOS)TM, Micro8™ and SOT-223 Power MOSFETs
MMSF4P01 HDR1
MOTOROLA-------------~
1 I R1 AND R2 FOR TAPE & REEL
MiniMOS
T1 AND T3 FOR TAPE & REEL
TMOS - - - - - - - - - - - - ' SOT-223
M FOR MINIATURE OPTIONAL SUFFIX:
PACKAGETYPE-----------' E FOR ENERGY RATED
OF - DUAL FET (SO-8) HD FOR HIGH CELL DENSITY
SF - SINGLE FET (S0-8) L FOR LOGIC LEVEL
V FOR TMOS V (FIVE)
FT - FET TRANSISTOR (SOT-223)
MTSF - SINGLE FET (MicroS) ZFORESD
MTDF - DUAL FET (MicroS) ' - - - - - VOLTAGE RATING DIVIDED BY 10
CURRENT - - - - - - - - - - - - - - ' '--------- CHANNEL POLARITY, N OR P
C FOR COMPLEMENTARY

TMOS Power MOSFETs 5.4-2 Motorola Master Selection Guide


CASE
221A--06
T0-220
CASE 418B--02
02PAK

HDTMOS Power MOSFETs


Nand P-Channel
CASE 369A-13
OPAK • •
CASE 751--05
SO-8
CASE 846A--01
Micro8

HDTMOS Technology is a design technique that reduces the on-resistance contribution in virtually every portion of the power
FET. The aggressive six million cells per square inch design is easily manufactured using wafer fabrication techniques that
Motorola has used for several years to manufacture highly successful 8-bit microcontrollers.
HDTMOS devices are designed for use in low voltage, high speed switching applications where power efficiency is important.
Typical applications are dc-dc converters and power management in portable and battery powered products such as computers,
printers, cellular and cordless phones. They can also be used for low voltage motor controls in mass storage products such as disk
drives and tape drives.

Table 1. High Power


VtBRlDSS ROSton) @ VGS 10 Motorola Package
(V) 10 V (mQ) 5V(mQ) 2.7V(mQ) (A) Part Number Type
60 45 - - 20 MTD20N06HD(4) OPAK
- 45 - 20 MTD20N06HDL(4) OPAK
- 150 - 15 MTD20P06HDL(4)(5) OPAK
10 - - 75 MTB75N06HD(4) 02PAK
14 - - 60 MTB60N06HD(4) 02PAK
10 - - 75 MTP75N06HD TO-220
14 - - 60 MTP60N06HD TQ-220
50 9.50 - - 75 MTP75N05HD TQ-220
9.50 - - 75 MTB75N05HD(4) 02PAK
30 - 35 - 20 MTD20N03HDL(4) OPAK
- 99 - 19 MTD20P03HDL(4)(5) OPAK
6.0 7.5 - 75 MTB75N03HDL(4) 02PAK
- 30 - 50 MTB50P03HDL(4)(5) 02PAK
6.0 7.5 - 75 MTP75N03HDL TO-220
- 30 - 50 MTP50P03HDL(5) TO-220
(4) Available In tape and reel- add T4 suffiX to part number.
(5) Indicates P-Ghannel

Oevices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-3 TMOS Power MOSFETs


HDTMOS Power MOSFETs (continued)

Table 2. sOle - COMPLEMENTARY, Nand P-Channel


V(BR)OSS ROS(on) @ vGS 10 PO(3)
(V) 10V 4.SV 2.7 V (A) Package (Watts)
(mQ) (mQ) (mQ) Device(S) Type Max
50 300 500 - 1.5 MMDF1N05E SO·8 1.5
30 200 300 - 2 MMDF2P03HD SO·8 1.5
100 110 - 3 MMSF3P03HD SO·8 1.5
70/200(11) 75/300(11) - 2 MMDF2C03HD SO·8 1.5
70 75 - 2.8 MMDF3N03HD SO·8 1.5
40 50 - 5 MMSF5N03HD SO-8 1.5
20 250 400 - 2 MMSF2P02E SO-8 1.5
250 400 - 2 MMDF2P02E SO-8 1.5
160 180 - 2 MMDF2P02HD SO-8 1.5
100/250(11 ) 200/400(11 ) - 2 MMDF2C02E SO-8 1.5
100 200 - 2 MMDF2N02E SO-8 1.5
90/160(11) 100/180(11) - 2 MMDF2C02HD SO-8 1.5
90 100 - 3 MMDF3N02HD SO-8 1.5
75 95 - 3 MMSF3P02HD SO-8 1.5
25 40 - 5 MMSF5N02HD SO-8 1.5
12 - 180 220 2 MMDF2P01HD SO·8 1.5
- 100 110 4 MMSF4P01HD SO·8 1.5
- 45/180(11) 55/220(11) 2 MMDF2C01HD SO-8 1.5
- 45 55 4 MMDF4N01HD SO-8 1.5
(3) Power rating when mounted on an FR"" glass epoxy printed circuit bOard with the minimum recommended footprint.
(5) Available in tape and reel only - Rl suffix = SOD/reel, R2 suffix = 2500/reel.
(11) N-Channel/P-Channel RDS(on)

Table 3. EZFET
V(BR)OSS ROS(on) 10 VGS
(Volts) (mQ) @ VGS (cant) (Volts)
Min Device Description Max (Volts) Amps Max Package
20 MMSF3P02Z Single P-Channel 75 10 3 ±15 SO-8
90 4.5
MMSF4P01Z 70 4.5 4 ±8
90 2.7
MMSF6N01Z Single N-Channel 25 4.5 6
30 2.7
MMDF4N01Z Dual N-Channel 45 4.5 4
55 2.7
30 MMSF5N03Z Single P-Channel 30 10 5 ±15
40 4.5

Table 4. MicroS
V(BR)OSS ROS(on) 10
(Volts) (mQ) VGS (cant) Product
Min Max (Volts) Amps Device Description
20 190 2.7 2 MTSF1P02HD Single P-Channel
20 200 2.7 1.5 MTDF1N02HD Dual N-Channel
30 75 4.5 3 MTSF3N03HD Single N-Channel
30 225 4.5 1.5 MTDF1N03HD Dual N-CHannel

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-4 Motorola Master Selection Guide


TMOSV
Motorola Introduces Fifth Generation TMOS Technology
Power Products Division introduces a new technology in the low voltage TMOS transistor family. This new generation technology
is currently referred to as TMOS V. It is revolutionary rather than evolutionary.
The TMOS V technology will more than double the present cell density of our TMOS Power MOSFETs. This new technology will
result in a tighter overall distribution of electrical parameters and optimizes the performance of our 50 and 60 volt portfolio.
This is a high cell density process of the future that will produce a new line of industry standard devices. Power transistors can
now be built with the same high resolution/small geometry MOS fabrication technology that is standard in Motorola's ASIC,
microprocessor and Memory Wafer Fabs.

Table 1. TMOS V - DPAK N-Channel


V(BR)OSS ROS(on) 10 Po
(Volts) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Device Amps Max
60 0.150 6 MTD3055V(4) 12 1.75(3)
0.180 6 MTD3055VL(2)(4) 12 1.75(3)
0.120 7.5 MTD15N06V(4) 15 1.75(3)
0.120 7.5 MTD15N06VL(2)(4) 15 1.75(3)
0.100 10 MTD20N06V(4) 20 1.75(3)

Table 2. TMOS V - TO-220AB N-Channel


V(BR)OSS ROS(on) 10 Po
(Volts) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Device Amps Max
60 0.150 6 MTP3055V 12 48(1)
0.180 6 MTP3055VL(2) 12 48(1)
0.120 7.5 MTP15N06V 15 55(1)
0.120 7.5 MTP15N06VL(2) 15 65(1)
0.100 10 MTP20N06V 20 65(1)
0.040 16 MTP36N06V 32 90(1)
0.050 15 MTP30N06VL<2) 30 90(1)
0.028 21 MTP50N06V 42 125(1)
0.032 21 MTP50N06VL<2) 42 125(1)
0.024 26 MTP52N06V 52 135(1)
0.028 26 MTP52N06VL(2) 52 135(1)
(I)TC=25'C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-5 TMOS Power MOSFETs


TMOS V (continued)

Table 3. TMOS V - 02PAK N-Channel


V(BRlOSS ROS(on) 10 Po
(Vots) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Oevice Amps Max
60 0.120 7.5 MTB15N06V(4) 15 3.0(3)
0.120 7.5 MTB15N06VL(2)(4) 15 3.0(3)
0.100 10 MTB20N06V(4) 20 3.0(3)
0.040 16 MTB36N06V(4) 32 3.0(3)
0.050 15 MTB30N06VL(2)(4) 30 3.0(3)
0.028 21 MTB50N06V(4) 42 3.0(3)
0.032 21 MTB50N06VL(2)(4) 42 3.0(3)
0.024 26 MTB52N06V(4) 52 3.0(3)
0.028 26 MTB52N06VL(2)(4) 52 3.0(3)

Table 4. TMOS V - SOIC-8


V(BR)OSS ROS(on) 10 Po
(Volts) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Oevice Amps Max
60 0.150 I 0.85 MMOF3055V(4) 1.7 1.8(3)
0.180 I 0.75 MMOF3055VL(2)(4) 1.5 1.8(3)

Table 5. TMOS V - SOT-223


V(BRlOSS ROS(on) 10 Po
(Vots) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Device Amps Max
60 0.150 I 0.85 MMFT3055V(4) 1.7 0.96(3)
0.180 I 0.75 MMFT3055VL(2)(4) 1.5 0.96(3)

Table 6. TMOS V - P-Channel


V(BR)OSS ROS(on) 10 Po
(Volts) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Oevlce Amps Max
60 0.450 2.5 MT05P06V(4) 5 1.75(3)
0.450 2.5 MTP5P06V 5 40(1)
0.300 6 MT02955V(4) 12 1.75(3)
0.300 6 MTP2955V 12 55(1)
0.120 11.5 MTB23P06V(4) 23 3.0(3)
0.120 11.5 MTP23P06V 23 90(1)
0.080 15 MTP30P06V 30 125(1)
0.080 15 MTB30P06V(4) 30 3.0(3)
(1) Tc = 25°C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold. Halic are Motorola preferred devices.

TMOS Power MOSFETs 5.<Hl Motorola Master Selection Guide


®
TMOS
TO-22DAB
CASE 221A--{l6
(MLP PREFIX)
SMARTDISCRETES STYLE 5 CASE 418B--{l2
STYLE 2

Products
From a standard power MOSFET process, several active and passive elements can be obtained that provide on-chip protection
to the basic power device. Such elements require only a small increase in silicon area and/orthe addition of one masking layertothe
process. The resulting device exhibits significant improvements in ruggedness and reliability and a system cost reduction. These
SMARTDISCRETESTM functions can now provide an economical alternative to smart power ICs for power applications requiring
low on-resistance, high voltage and high current.
These devices make up a series of "smart" power devices that automatically clamp spikes in automotive ignition systems and
guard against ESD. The devices feature a logic levellGBT (Insulated Gate Bipolar Transistor) with integral active collector clamp
and ESD gate protection and are designed primarily as ignition coil drivers to withstand high current in a pulsed mode without
latching.

Table 1. Ignition IGSTs


BVCES PO(l)
(Volts) VCE(on) (Watts)
Clamped @10A Oevlce Max Package
140V 1.8 MGP20N14CL 150 TO-220AB
350 V 1.8 MGP20N35CL 150 TO-220AB
MGB20N35CL 2.5(3)(4) D2pAK
400 V 1.8 MGP20N40CL 150 T0-220AB
MGB20N40CL 2.5(3)(4) D2PAK
(1) TC = 25"C
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) OPAK and 02PAK packages available in tape and reel- add T4 suffix to part number.

The MLP1 N06CL is a SMARTDISCRETES device that has integrated on-chip


current limit capability, drain-ta-source voltage clamping and gate voltage
Go-,.........R....l---+...J
protection. The logic level processing allows operation of this device at half of the
gate-ta-source (5 volts) voltage of the conventional MOSFETs and can now be
driven directly from CMOS or TTL logic drivers. This integration of technologies
results in an intelligent, monolithic powercircuitthat offers a reduced parts count and
improved reliability by replacing resistors, diodes, a bipolar transistor and a S
MOSFET with one device all of which are packaged in a TO-220AB package. MLP1N06CL

Table 2. T0-220AS - MlP1 N06el


V(BR10SS ROS(on) 10 PO(l)
(Vots) (Ohms) 10 (cont) (Watts)
Min Max (Amps) Device Amps Max
60 0.75 1 MLP1N06CL Current 40
Clamped Voltage Limited
62 0.4 2 MLP2N06CL Current 40
Clamped Voltage Limited
(1) TC = 25"C
(3) Power rating when mounted on an FR-4 glass epoxy printed circutt board with the minimum recommended footprint.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-7 TMOS Power MOSFETs


yTMDS • •
CASE 751-{)5 CASE 846A-{)1
So-a Mlcro8
STYLE 11, STYLE 13

N-Channel

SO-8 MiniMOSTM and MicroS Surface Mount Products


MiniMOS devices are an advanced series of power MOSFETs which utilize Motorola's High Cell Density HDTMOS process.
These miniature surface mount MOSFETs feature ultra low RDS(on) and true logic level performance.
MiniMOS devices are designed for use in low voltage, high speed switching applications where power efficiency is important.
Typical applications are dc-dc converters and power management in portable and battery powered products such as computers,
printers, cellular and cordless phones. They can also be used for low voltage motor controls in mass storage products such as disk
drives and tape drives.
• Ultra Low RDS(on) Provides Higher Efficiency and Extends Battery Life
• Logic Level Gate Drive - Can Be Driven by Logic ICs
• Miniature S0-8 Surface Mount Package - Saves Board Space
• Diode Is Characterized for Use In Bridge Circuits
• Diode Exhibits High Speed, with Soft Recovery
• lOSS and VDS(on) Specified at Elevated Temperature
• Avalanche Energy Specified

Table 1. SO-8 Products - N-Channel


V(BR)OSS ROS(on) @ VGS 10
Po (3)
(V) 10V 4.5 V 2.7V (A) Package (Watts)
(mQ) (mQ) (mQ) Device (5) Type Max
50 300 500 - 1.5 MMDF1NOSE SO·8 1.5
30 40 50 - 5 MMSF5N03HD SO·8 1.5
70 75 - 2.8 MMDF3N03HD SO·8 1.5
70/200(11) 75/300 - 2 MMDF2C03HD SO·8 1.5
20 25 40 - 5 MMSF5N02HD SO·8 1.5
90 100 - 3 MMDF3N02HD SO·8 1.5
100 200 - 2 MMDF2N02E SO·8 1.5
90/160(11) 100/180(11) - 2 MMDF2C02HD SO·8 1.5
100/250(11) 200/400(11) - 2 MMDF2C02E SO·8 1.5
12 - 45 55 4 MMDF4N01HD SO·8 1.5
- 45/180(11) 551220(11) 2 MMDF2C01HD SO·8 1.5
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(5) Available in tape and reel only - R1 suffix = 500/reel, R2 suffix = 2500lreel.
(11) N-ChanneI/P-Channel ROS(on)

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-8 Motorola Master Selection Guide


N-Channel (continued)

TMOS

N-Channel
®

CASE 751-{)5
SO-8
STYLE 11, STYLE 13

SO-8 EZFETTM -

the gate to source.


Power MOSFETs with Zener Gate Protection
• New Family of Low ROS(on) MOSFETs with monolithic back-to-back zener diodes across

• HOTMOSTM Technology (High Cell Oensity TMOS)


• Extremely Low ROS(on) provides higher efficiency and increased battery life in portable applications
G~ S
N-Channel
Table 2. EZFET
V(BR)OSS ROS(on) 10 VGS
(Volts) (mQ) @ VGS (cont) (Volts)
Min Device Description Max (Volts) Amps Max Package
20 MMSF6N01Z Single N-Channel 25 4.5 6 ±8 SO-8
30 2.7
MMDF4N01Z Dual N-Channel 45 4.5 4
55 2.7

Table 3. MicroS
V(BR)OSS ROS(on) 10
(Volts) (mQ) @ VGS (cont) Product
Min Max (Volts) Amps Oevice Oescription
20 200 2.7 1.5 MTDF1N02HD Dual N-Channel
30 75 4.5 3 MTSF3N03HD Single N-Channel
30 225 4.5 1.5 MTDF1N03HD Dual N-CHannel

SOT-223 Medium Power MOSFETs CASE 318E-{)4


SOT-223
Surface Mount Products STYLE 3

Table 4. SOT-223 Medium Power TMOS FETs - N-Channel


V(BR)OSS ROS(on) 10 Po(1)
(Volts) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Oevlce(12) Amps Max Applications
100 0.30 0.5 MMFT1N10E 1 0.8(3) dc-dc Converters
Power Supplies
60 0.18 0.75 MMFT3055EL(2) 1.5
Motor Controls, Disk Drives
0.15 0.85 MMFT3055E 1.7
20 0.15 1 MMFT2N02EL(2) 2
(I)Te= 25°e
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(12) Available in tape and reel only- Tl suffix = 1000lreel, T3 suffix = 4000/reel.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-9 TMOS Power MOSFETs


N-Channel (continued)

TMOS
®
y TMOS

CASE 369A-13
T0-252
STYLE 2

N-Channel

DPAK Surface Mount Products

Table 5. DPAK - N-Channel


V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cant) (Watts)
Min Max Device (4) Amps Max
800 12 0.5 MTD1NBOE 1 1.75(3)

600 8 0.5 MTD1N60E 1


500 5 0.5 MTD1N50E 1
3.60 1 MTD2N50E 2
400 3.50 1 MTD2N40E 2
250 1.40 1.5 MTD3N25E 3
1 2.5 MTD5N25E 5
200 1.20 2 MTD4N20E 4
0.70 3 MTD6N20E 6
150 0.30 3 MTD6N15 6
100 0.60 2.5 MTD5N10E 5
0.40 3 MTD6N10E 6
0.25 4.5 MTD9N10E 9
0.22 5 MTD10N10EL(2) 10
60 0.18 6 MTD3055VL(2) 12
0.15 6 MTD3055V 12
0.12 4 MTDBN06E 8
0.12 7.5 MTD15N06V 15
0.045 10 MTD20N06HD 20
0.045 10 MTD20N06HDL(2) 20
50 0.10 5 MTD10N05E 10
30 0.035 10 MTD20N03HDL(2) 20
(1)TC=25°C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circu~ board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-10 Motorola Master Selection Guide


N-Channel (continued)

ryl~~
TMOS

N-Channel
®
lJ!iJLmJ •
CASE 4188-02
STYLE 2

02PAK Surface Mount Products


Table 6. 02PAK - N-Channel
V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Device (4) Amps Max
1200 5 1.5 MTB3N120E 3 2.5(3)
1000 9 0.5 MTB1N100E 1
4 1.5 MTB3N100E 3
800 3 2 MTB4N80E 4
600 1.20 3 MTB6N60E 6
500 0.80 4 MTB8N50E 8
400 0.55 5 MTB10N40E 10
250 0.50 4.5 MTB9N25E 9
0.25 8 MTB16N25E 16
200 0.16 10 MTB20N20E 20
100 0.060 16.5 MTB33N10E 33
60 - - MTB15N06V -
0.05 15 MTB30N06EL(2) 30
0.04 16 MTB36N06V 36
0.032 21 MTBSON06VL 42
0.028 21 MTB50N06V 42
0.014 30 MTB60N06HD 60
0.01 37.5 MTB75N06HD 75
50 0.0095 37.5 MTB75N05HD 75
30 0.0075 37.5 MTB75N03HDL(2) 75
(1) TC = 25°C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-11 TMOS Power MOSFETs


N-Channel (continued)

N-Channel CASE~1
TO-286
STYLE 2

• D3PAK is a high power surface mount package designed to accommodate die which is too large for a D2pAK.
- Utilized for Size 5, Size 6 or larger MOSFET and IGBT.
- Used for dual die IGBT and diode combination.
• 24 mm Tape and Reel, 500 units per 13' reel.
• D3PAK is thermal characterized for use on FR-4 and IMS board materials.

• Applications:
- Surface mount motor drives
- Power supplies both AC/DC and DC/DC

Table 7. 03PAK - N-Channel


V(BR)OSS ROS(on) 10 10 PO(l)
(Volts) (Ohms) @ (Amps) (cant) (Watts)
Min Max Device (4) Amps Max
1000 1.50 3 MTV6N100E 6 178
1.30 5 MTV10N100E 10 250
500 0.320 8 MTV16NSOE 16 250
0.240 10 MTV20NSOE 20 250
0.200 12.5 MTV25N50E 25 250
250 0.065 16 MTV32NOSE 32 250
200 0.075 16 MTV32N20E 32 180
(1)TC=25°C
(4) Available in tape and reel- add RL suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-12 Motorola Master Selection Guide


N-Channel (continued)

®
TMOS

N-Channel CASE 221A-QS


(TQ-220AB)
STYLE 5

TO-220AB

Table 8. T0-220AB - N-Channel


V(BR)OSS ROS(on) 10 10 PO(I)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Oevice Amps Max
1200 5.0 1.5 MTP3N120E 3 125
1000 9 0.5 MTP1N100E 1 75
4.0 1.5 MTP3N100E 3 125
800 3 2 MTP4N80E 4
600 8 0.5 MTP1N60E 1 50
3.80 1 MTP2N60E 2
2.20 1.5 MTP3N60E 3 75
1.20 3 MTP6N60E 6 125
500 5 0.5 MTP1N50E 1 50
3.60 1 MTP2N50E 2 75
3 1.5 MTP3N50E 3 50
1.50 2 MTP4N50E 4 75
0.80 4 MTP8N50E 8 125
400 3.50 1 MTP2N40E 2 50
1.80 2 MTP4N40E 4 50
1 2.5 MTP5N40E 5 75
0.55 5 MTP10N40E 10 125
250 1.4 1 MTP3N25E 3 40
0.5 4.5 MTP9N25E 9 75
0.25 8 MTP16N25E 16 125
200 0.70 3.5 MTP7N20E 7 75
0.16 10 MTP20N20E 20 125
100 0.25 5 MTP10N10E 10 75
0.22 5 MTP10N10EL 10 40
0.16 6 MTP12N10E 12 75
0.070 13.5 MTP27N10E 27 125
0.060 16.5 MTP33N10E 33 150
(llTC = 25°C

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-13 TMOS Power MOSFETs


N-Channel (continued)

Table 8, To-220AB - N-Channel (continued)


V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min MaX Device Amps Max
60 0.18 6 MTP3055VL(2) 12 48
0.15 6 MTP3055V 12
0.12 7.5 MTP15N06V 15 60
0.12 7.5 MTP15N06VL 15 65
0.10 10 MTP20N06V 20
0.05 15 MTP30N06VL(2) 30 90
0.04 18 MTP36N06V 32
0.032 25 MTP50N06VL(2) 50 150
0.028 25 MTP50N06V 50
0.028 26 MTP52N06VL 52 135
0.024 26 MTP52N06V 52
0.014 30 MTP60N06HD 60 150
0.01 37.5 MTP75N06HD 75
50 0.10 7.5 MTP15N05EL(2) 15 75
0.0095 37.5 MTP75N05HD 75 150
25 0.0075 37.5 MTP75N03HDL(2) 75
(1)TC=25"C
(2) Indicates logic level

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-14 Motorola Master Selection Guide


N-Channel (continued)

lr TMOS

N-Channel CASE 340F--03


T0-247AE
(MTW PREFIX)
STYLE 1
TO-247 Isolated Mounting Hole
The Motorola portfolioofTO-247 devices has new on-resistance specifications on many industry standard devices with ROS(on)
reductions up to 25%.

Table 9. TO-247 - N-Channel


V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Device Amps Max
1000 1.50 3 MTW6N100E 6 180
1.30 5 MTW10N100E 10 250
800 1 3.5 MTW7NBOE 7 180
600 0.50 4 MTWBN60E 8 180
500 0.32 7 MTW14N50E 14 180
0.24 10 MTW20N50E 20 250
400 0.24 8 MTW16N40E 16 180
0.16 12 MTW24N40E 24 250
250 0.10 16 MTW32N25E 32 250
200 0.075 16 MTW32N20E 32 180
150 0.065 17.5 MTW35N15E 35 180
100 0.035 22.5 MTW45N10E 45 180

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-15 TMOS Power MOSFETs


N-Channel (continued)

lr TMOS
CASE340G~2
T0-264
N-Channel

TO-264 High Power Products


The TQ-264 package is a new addition to the Motorola portfolio of high power packages. This package is capable of a power
dissipation of 300 Watts and it achieves a low on-resistance with a single die. Lead spacing is compatible to the TQ-247 package.

Table 10. T0-264 High Power Products - N-Channel


V(BR)OSS ROS(on) 10 10 PO(l)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Oevice Amps Max
600 0.21 12.5 MTY25N60E 25 300
500 0.26 10 MTY20N50E 20
0.15 15 MTY30N50E 30
200 0.028 27.5 MTY55N20E 55
100 0.011 50 MTY100N10E 100
(1)Tc = 25°C

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-16 Motorola Master Selection Guide


TMOS

P-Channel
®
TMOS • ..
CASE7Sl-OS
50-8
STYLE 11, STYLE 13
CASE S46A-Ol
MicroS

SO-8 (MiniMOS) and Micro8 Surface Mount Products


Multiple Chip TMOS Products in SOIC Surface Mount Packages
MiniMOS devices are an advanced series of power MOSFETs which utilize Motorola's High Cell Density HDTMOS process.
These miniature surface mount MOSFETs feature ultra low RDS(on) and true logic level performance.
MiniMOS devices are designed for use in low voltage, high speed switching applications where power efficiency is important.
Typical applications are dc-dc converters and power management in portable and battery powered products such as computers,
printers, cellular and cordless phones. They can also be used for low voltage motor controls in mass storage products such as disk
drives and tape drives.

Table 1. S0-8 Products - P-Channel

V{BR)OSS ROS{on) @ VGS 10


PO(3)
(V) 10V 4.SV 2.7V (A) Package (Watts)
(mQ) (mQ) (mQ) Device{S) Type Max
30 100 110 - 3 MMSF3P03HD SO-8 1.5
200 300 - 2 MMDF2P03HD SO-8 1.5
20 75 95 - 3 MMSF3P02HD SO-8 1.5
160 180 - 2 MMDF2P02HD SO-8 1.5
250 400 - 2 MMDF2P02E SO-8 1.5
250 400 - 2 MMSF2P02E SO-8 1.5
12 - 100 110 4 MMSF4P01HD 80-8 1.5
- 180 220 2 MMDF2P01HD SO-8 1.5
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(5) Available in tape and reel only - Rt suffix = 500lreel, R2 suffix = 2500lreel.

Table 2. Micro8
V{BR)OSS ROS{on) 10
(Volts) (mQ) @ VGS (cant) Product
Min Max (Volts) Amps Device Description
20 190 I 2.7 2 MTSF1P02HD Single P--Channel

Table 3. EZFET
V{BR)OSS ROS(on) 10 VGS
(Volts) (mQ) vGS (cont) (Volts)
Min Device Description Max (Volts) Amps Max Package
20 MMSF3P02Z Single P-Channel 75 10 3 ±15 S0-8
90 4.5

MMSF4P01Z 70 4.5 4 ±8
90 2.7

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-17 TMOS Power M08FETs


P-Channel (continued)

T~
lJ1JLij CASE 318E-04
SOT-223
STYLE 3
P-Channel

SOT-223 Medium Power MOSFETs


Surface Mount Products
Table 4. SOT-223 Medium Power TMOS FETs - P-Channel
V(BR)OSS ROS(on) 10 Po(1)
(Volts) (Ohms) @ 10 (cont) (Watts)
Min Max (Amps) Device(12) Amps Max Application
60 0.30 0.6 MMFT2955E 1.2 0.a(3) dc-dc Converters
Power Supplies
Motor Controls, Disk Drives
(I)Tc = 25°C
(3) Power rating when mounted on an FR-4 glass epoxy printed circun board with the minimum recommended footprint.
(12) Available in tape and reel only- Tl suffix = 10oo/reel, T3 suffix = 4000/reel.

DPAK Surface Mount Products



CASE 369A-13
TO-252
STYLE 2

Table 5. DPAK - P-Channel


V(BR)OSS ROS(on) 10 10 Po(1)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Device (4) Amps Max
500 15.0 0.5 MTD1P50E 1 1.75(3)
100 0.66 3 MTD6P10E 6
60 0.55 2.5 MTD5P06E 5
- - MTD5P06V -
0.15 10 MTD20P06HDL(2) 20
30 0.099 10 MTD20P03HDL(2) 19
(1) Tc = 25°C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-18 Motorola Master Selection Guide


P-Channel

...
(continued)

TMOS
®
_TM
TMDS
CASE 4188-02
STYLE 2
P-Channel

02PAK Surface Mount Products


Table 6. 02PAK - P-Channel
V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Oevice(4) Amps Max
500 6 1 MTB2P50E 2 2.5(3)
60 0.12 11.5 MTB23P06E 23
30 0.025 25 MTB50P03HDL(2) 50
(1)TC=25'C
(2) Indicales logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.

CASE 221 AooOS


(TO-220AB)
STYLE 5

TO-220AB
Table 7. T0-220AB - P-Channel
V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cont) (Watts)
Min Max Oevice Amps Max
500 6 1 MTP2P50E 2 75
200 1 3 MTP6P20E 6
100 0.30 6 MTP12P10 12 88
60 0.45 2.5 MTP5P06V 5 40
0.30 6 MTP2955V 12 60
0.12 11.5 MTP23P06V 23 125
0.08 15 MTD30P06V 30 125
30 0.025 25 MTP50P03HDL (2) 50 150
(l)TC = 25'C
(2) Indicates logic level

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-19 TMOS Power MOSFETs


,r ~
TMOS
~.MOSV
CASE 318E-G4
SOT-223
STYLE 3
Logic Level - N-Channel

SOT-223 Medium Power MOSFETs Surface Mount Products


Table 1. SOT-223 Medium Power TMOS FETs - Logic Level
V{BR)OSS ROS{on) 10 PO{I)
(Volts) (Ohms) @ 10 (cant) (Watts)
Min Max (Amps) Oevice(12) Amps Max Application
60 0.18 0.75 MMFT3055EL 1.5 0.8(3) do-dc Converters
Power Supplies
20 0.15 1 MMFT2N02EL 2 Motor Controls, Disk Drives
(l)Tc = 25°C
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board w~h the minimum recommended footprint.
(12) Available in tape and reel only- Tl suffix = 1000lreel, T3 suffix =4000/reel.

CASE 369A-13
TD-252
DPAK - Nand P-Channel STYLE 2

Surface Mount Products

Table 2. DPAK - Logic Level


V{BR)OSS ROS{on) 10 10 PO{I)
(Volts) (Ohms) @ (Amps) (cant) (Watts)
Min Max Device(4) Amps Max
100 0.22 5 MT010N10EL 10 1.75(3)
60 0.12 7.5 MT015N06V 15
0.18 6 MTD3055VL 12
0.15 10 MT020P06HOL(5) 20
0.045 10 MT020N06HOL 20
30 0.099 10 MT020P03HOL (5) 19
0.035 10 MT020N03HOL 20
(1) Tc = 25°C
(2) Indicates logic level
(3) Power rating when mounted on an FR-4 glass epoxy printed circu~ board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.
(5) Indicates P-Channel

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-20 Motorola Master Selection Guide


Logic Level (continued)

,r y
TMOS TMDS
CASE 418B-{)2
STYLE 2
Logic Level
02PAK - Nand P-Channel Surface Mount Products
Table 3. 02PAK - Logic Level
V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cant) (Watts)
Min Max Oevice(4) Amps Max
60 0.05 15 MTB30N06VL 30 2.5(3)
0.032 21 MTB50N06VL 42
30 0.025 25 MTB50P03HDL(5) 50
0.0075 37.5 MTB75N03HDL 75
(1) TC = 25°C
(3) Power rating when mounted on an FR-4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) Available in tape and reel- add T4 suffix to part number.
(5) Indicates P-Ghannel

CASE 221 A-{)&


(T0-220AB)
STYLE 5
TO-220AB - Nand P-Channel
Table 4. T0-220AB - Logic Level
V(BR)OSS ROS(on) 10 10 PO(1)
(Volts) (Ohms) @ (Amps) (cant) (Watts)
Min Max Device Amps Max
100 0.22 5 MTP10N10EL 10 75
60 0.1.8 6 MTP3055EL 12 48
0.18 6 MTP3055VL 12
0.05 15 MTP30N06EL 30 75
0.05 15 MTP30N06VL 30 90
0.028 25 MTP50N06EL 50 150
0.032 21 MTP50N06VL 42 125
0.028 26 MTP52N06VL 50 135
50 0.12 7.5 MTP15N06VL 15 65
0.10 7.5 MTP15N05EL 15 150
0.032 25 MTP50N05EL 50
30 0.025 25 MTP50P03HDL(2) 50
0.0075 37.5 MTP75N03HDL 75
(1)Tc=25°c
(2) Indicates P-Channel

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.4-21 TMOS Power MOSFETs


®
TMOS
TO-220AB
CASE 221A-06 CASE 4188-02
(MLP PREFIX) STYLE 2
STYLE 5
N-Channel
Insulated Gate Bipolar Transistors (IGBTs)
These devices make up a series of "smart" power devices that automatically clamp spikes in automotive ignition systems and
guard against ESD. The devices feature a logic levellGBT (insulated Gate Bipolar Transistor) with integral active collector clamp
and ESD gate protection and are designed primarily as ignition coil drivers to withstand high current in a pulsed mode without
latching.

Table 1. N-Channellgnition IGBTs


BVCES PD(l)
(Volts) VCE(on) (Walts)
Clamped @10A Device Max Package
140 V 1.B MGP20N14CL 150 TO-22DAB
350V 1.B MGP20N35CL 150 TO-220AB
MGB20N35CL 2.5(3)(4) D2PAK
400 V 1.B MGP20N40CL 150 TO-220AB
MGB20N40CL 2.5(3)(4) D2PAK
(1) Tc = 25°C
(3) Power rating wf1en mounted on an FR--4 glass epoxy printed circuit board with the minimum recommended footprint.
(4) DPAK and D2pAK packages available in tape and reel- add T4 suffix to part number.

TO-247AE TO-264
CASE 221A-06 CASE 340F-03 CASE 340G-02

Table 2. N-Channel, Standard and Copackaged IGBTs


VCE(on)@IC
BVCES 'C@90'C (Volts) PD(l)
Device (Volts) (A) Max Walts Package
MGP5N60E 600 5 2.06 A @ 1.5A 62 TD-220
MGP20N60 20 2.9V@ lOA 142 TO-220
MGW20N60D TD-247
MGW30N60 30 2.9V@15A 202 TD-247
MGY30N60D TD-264
MGY40N60 40 2.BV@20A 260 TD-264
MGY40N60D TD-264
MGW12N.120 1200 12 3.37 V @ 5A 123 TD-247
MGW12N120D TD-247
MGY25N120 25 3.24 V @ 12.5 A 212 TD-264

Devices listed in bold, italic are Motorola preferred devices.

TMOS Power MOSFETs 5.4-22 Motorola Master Selection Guide


Bipolar Power
Transistors

In Brief ...
Motorola's broad line of Bipolar Power Transistors Page
includes discrete and Darlington transistors in a variety of Bipolar Power Transistors ....................... 5.5-2
packages from the popular surface mount DPAK at 1.75 Selection by Package ........................ 5.5-2
watts to the 250 watt TO-3 and T0-264. New products Plastic T0-220AB . . . . . . . . . . . . . . . . . . . . . . . .. 5.5-3
include the MJElMJF 18000 series for lamp ballast and Plastic T0-218 Type ...................... 5.5-6
power supplies, MJW16212 - a new 1500 V deflection Plastic T0-247 Type ...................... 5.5-7
transistor for video monitor applications, and high Large Plastic T0-264 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
performance audio output devices in the TO-264 package. Plastic T0-225AA Type
We have the broadest line of Bipolar Power Transistors in the (Formerly T0-126 Type) .................. 5.5-8
industry and the Motorola commitment to quality and total DPAK - Surface Mount Power Packages .... 5.5-10
customer satisfaction to go with them. Metal TO-204AA (Formerly T0-3),
T0-204AE ............................. 5.5-11
Audio ..................................... 5.5-15
Electronic Lamp Ballasts . . . . . . . . . . . . . . . . . . . .. 5.5-16

Motorola Master Selection Guide 5.5-1 Bipolar Power Transistors


Bipolar Power Transistors
Selection by Package

Package IC VCE Po Page


Range Range (Watts) #
(Amps) (Volts)

~
TO-204AA 4-30 40-1500 90-250 5.5-11
(TO-3)
CASE 1-07

~
TO-204AE 50-80 60-1000 150-300 5.5-11
CASE 197A

, OPAK
CASE 369
0.5-10 40-400 12.5-20 5.5-10

~
OPAK 0.5-10 40-400 12.5-20 5.5-10
CASE 369A

, TO-218 TVPE
CASE 3400
5.0-25 60-1500 80-150 5.5--6

, ~
TO-220AB
CASE 221A-06

TO-225AA
0.5-15

0.3-5.0
30-1800

25-400
30-125

12.5-40
5.5-3

5.5-8

~
(TO-126 TYPE)
CASE 77

~
TO-247 TVPE 10-30 400-1500 125-180 5.5-7
." CASE 340F

~
TO-264 15-16 200-650 250 5.5-8
CASE 340G

Bipolar Power Transistors 5.5-2 Motorola Master Selection Guide


STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMllTER
4. COLLECTOR 4

Table 1. Plastic TO-220AB


Device Type
~~~,-
3

Resistive Switching
(TQ-220AB)

Po
ICCont VCEO(sus) ts tf IT (Case)
Amps Volts hFE @IC itS its @IC MHz Watts
Max Min(8) NPN PNP MiniMax Amp Max Max Amp Min @25°C

0.5 350 MJE2360T 15 min 0.1 10typ 30


MJE2361T 40 min 0.1 10typ 30
1 100 TIP29C TIP30C 15/75 1 0.6 typ 0.3typ 1 3 30
250 TIP47 30/150 0.3 2 typ 0.18typ 0.3 10 40

300 TIP48 MJE5730 30/150 0.3 2 typ 0.18typ 0.3 10 40

350 TIP49 MJE5731 30/150 0.3 2typ 0.18 typ 0.3 10 40

400 TIP50 MJE5731A(7) 301150 0.3 2 typ 0.18typ 0.3 10 40


2 100 TIP112(2) TIP117(2) 500 min 2 1.7typ 1.3typ 2 25(1) 50
400/700 BUL44 14/36 0.4 2.75(3) 0.175(3) 1 13typ 50
450/1000 BUX85 30 0.1 3.5 1.4 1 4 50

450/1000 MJE18002 14/34 0.2 3(3) 0.17(3) 1 12 typ 40


900/1800 MJE1320 3min 1 4typ 0.8typ 1 80

3 80 B0241B B0242B 25 min 1 3 40

100 B0241C B0242C 25 min 1 3 40

TIP31C TIP32C 25 min 1 0.6typ 0.3 typ 1 3 40

150 MJE9780 50/200 0.5 5typ 40


(1)lhFEI @ 1 MHz
(2)Darlington
(3)Switching tests performed wlspecial application simulator circuit. See data sheet for details.
(7)VCEO = 375 V
(B)When 2 voltages are given, the format is VCEO(sus)NCES'

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.5-3 Bipolar Power Transistors


Table 1. Plastic TO-220AB (continued)
OevlceType Resistive Switching
Po
ICCont VCEO{sus) ts tf fy (Case)
Amps Volts hFE @IC J.lS !Ls @IC MHz Watts
Max Min(8) NPN PNP MinIMax Amp Max Max AmP Min @25°C
4 40 MJE1123 45/100 4 5 75
60 MJE800(2) MJE700(2) 750 min 1.5 1(1) 40
80 044C12 045C12 40/120 0.2 1 40typ 30
400nOO MJE13005 6/30 3 3 0.7 3 4 60
5 100 TIP122(2) TIP127(2) lkmin 3 1.5 typ 1.5typ 4 4(1) 75
250 2N6497 lOn5 2.5 1.8 0.8 2.5 5 80
300 2N6498 lOn5 2.5 1.8 0.8 2.5 5 80
400/700 BUL45 14/34 0.3 1.7(3) 0.15(3) 1 12 typ 75
450/1000 MJE16002 5min 5 3 0.3 3 80
450/850 MJE16004 7min 5 2.7 0.35 3 80
450/1000 MJE18004 14/34 0.3 1.7 0.15 1.0 13 75
550/1200 MJE18204 18135 0.5 2.75(3) 0.2(3) 2 12 75
6 80 B0243B B0244B 15 min 3 0.4 typ 0.15 typ 3 3 65
100 B0243C B0244C 15min 3 0.4 typ 0.15 typ 3 3 65
TIP41C TIP42C 15n5 3 0.4 typ 0.15 typ 3 3 65
250/550 MJE16204 5min 6 1.5(2) 0.15(2) 1 10 80
400nOO BUL146 14/34 0.5 1.75(3) 0.15(3) 3 14typ 100
450/1000 MJE18006 14/34 0.5 3.2(3) 0.13(3) 3 14typ 100
7 30 2N6288 2N6111 30/150 3 0.4 typ 0.15 typ 3 4 40
50 2N6109 30/150 2.5 0.4typ 0.15typ 3 4 40
70 2N6292 2N6107 30/150 2 O.4typ 0.15 typ 3 4 40
100 B0801 B0802 15 min 3 3 65
150 BU407 30 min 1.5 0.75 5 10 60
200 BU406 30 min 1.5 0.75 5 10 60
450 BU522B(2) 250 min 2.5 7.5 75
(1)lhFEI @ 1 MHz
(2)Oarlington
(3)Switching tests performed wlspecial application simulator circuit. See data sheet for details.
(7)VCEO = 375 V
(8)When 2 voltages are given, the format is VCEO(susYVCES.

Devices listed in bold, italic are Motorola preferred devices.

Bipolar Power Transistors 5.5-4 Motorola Master Selection Guide


Table 1. Plastic TO-220AB (continued)
Device Type Resistive Switching
Po
ICCont VCEO(sus) ts tf for (Case)
Amps Volts hFE @IC ~s ~s @IC MHz Watts
Max Min(S) NPN PNP MInIMax Amp Max Max Amp Min @25°C
8 60 2N6043(2) 2N6040(2) lk110k 4 1.5 typ 1.5typ 3 4(1) 75
80 2N6044(2) 2N6041(2) lk110k 4 1.5typ 1.5typ 3 4(1) 75
BDX53B(2) BDX54B(2) 750 min 3 4(1) 60
100 2N6045(2) 2N6042(2) lk110k 3 1.5 typ 1.5typ 3 4(1) 75
BDX53C(2) BDX54C(2) 750 min 3
TIP102(2) TIP107(2) lk120k 3 1.5typ 1.5typ 3 4(1) 80
120 MJE15028 MJE15029 20 min 4 30 50
150 MJE15030 MJE15031 20 min 4 30 50
200 BU806(2) 100 min 5 0.55 typ 0.2 typ 5 60
300/600 MJE5740(2) 200 min 4 8typ 2typ 6 4 80
MJE5850 15min 2 2 0.5 4 80
350 MJE5741 (2) 200 min 4 8typ 2typ 6 80
MJE5851 15min 2 2 0.5 4 80
MJE5742(2) 200 min 4 8typ 2typ 6 80
MJE13007 5/30 5 3 0.7 5 80
MJE5852 15min 2 2 0.5 4 80
400/650 MJE16106 6/22 8 2typ 0.1 typ 5 100
400/700 BUL147 14/34 1 2.5(3) 0.18(3) 2 14typ 125
450/1000 MJE18008 16/34 1 2.75(3) 0.18(3) 2 13typ 125
10 20 BD808 15min 4 1.5 90

60 D44H8 D45H8 40 min 4 50


MJE3055T MJE2955T 20/70 4 75
2N6387(2) 2N6667(2) lk120k 5 20(1) 65
80 BDX33B(2) BDX34B(2) 750 min 3 3 70
BD809 B0810 15min 4 1.5 90
2N6388(2) 2N6668(2) lk120k 5 20(1) 65
D44Hl0 D45Hl0 20 min 4 0.5 typ 0.14typ 5 50typ 50
D44H11 D45H11 40 min 4 0.5 typ 0.14typ 5 50typ 50
(1)lhFEI @ 1 MHz
(2)Darlington
(3)Switching tests performed w/special application simulator circuit. See data sheet lor details.
(7)VCEO = 375 V
(8)When 2 voltages are given, the lormat is VCEO(sus)NCES'
(9)Sell protected Darlington

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.5-5 Bipolar Power Transistors


Table 1. Plastic T0-220AB (continued)
Device Type Resistive Switching
Po
IcCont VCEO(sus) ts tf tr (Case)
Amps Volts hFE @IC j1S I1s @IC MHz Watts
Max Min(8) NPN PNP MinIMax Amp Max Max Amp Min @25°C
10 100 BDX33C(2) BDX34C(2) 750 min 3 3 70
450/1000 MJE18009 14/34 1.5 2.75(3) 0.2(3) 3 12 150
12 400/700 MJE13009 6130 8 3 0.7 8 4 100
15 80 2N6488 2N6491 20/150 5 0.6 typ 0.3typ 5 5 75
D44VH10 D45VH10 20 min 4 0.5 0.09 8 50typ 83
100 BDW42(2) BDW47(2) lkmin 5 1 typ 1.5typ 5 4 85

STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMITTER
4. COLLECTOR
4

CASE 340D
(TO-218 Type.
3 SOT-93)
Table 2. Plastic T0-218 Type
OevlceType Resistive Switching
Po
ICCont VCEO(sus) ts tf tr (Case)
Amps Volts hFE @IC I1S @IC MHz Watts
I1s
Max Min(8) NPN PNP MinIMax Amp Max Max Amp Min @25°C
8 500/1000 MJH16006A 5 min 8 2.5 0.25 5 125
10 60 TIPl40(2) TIPl45(2) 500 min 10 2.5 typ 2.5typ 5 4(1) 125
TIP141 (2) TIP146(2) 500 min 10 2.5 typ 2.5 typ 5 4(1) 125
100 BDV65B(2) BDV64B(2) lkmin 5 125
TIP33C TIP34C 20/100 3 3 80
TIP142<2) TlP147<2) 500 min 10 2.5 typ 2.5typ 5 4(1) 125
400 BU323AP(2) 1501100 6 15 15 6 125
MJH10012(2) 100/2k 6 15 15 6 118
(l)lhFEI @ 1 MHz
(2)Oarlington
(8)When 2 voltages are given, the format is VCEO(sus)iVCES'

Devices listed in bold, italic are Motorola preferred devices.

Bipolar Power Transistors 5.5--6 Motorola Master Selection Guide


Table 2. Plastic TO-218 Type (continued)
OeviceType Resistive Switching
Po
ICCont VCEO(sus) ts tf fT (Case)
Amps Volts hFE @IC JlS @IC MHz Watts
~
Max Min(S) NPN PNP MinIMax Amp Max Max Amp Min @25°C
15 60 TIP3055 TIP2955 5 min 10 2.5 80
150 MJH11018(2) MJH11017(2) 400/15k 10 3 150
200 MJHll020(2) MJH11019(2) 400/15k 10 3 150
250 MJH11022(2) MJH11021 (2) 400/15k 10 3 150
400 BUV48 8 min 10 2 0.4 10 150
450 BUV48A 8min 8 2 0.4 10 150
16 140 MJE4342 MJE4352 15min 8 1.2typ 1.2typ 8 1 125
160 MJE4343 MJE4353 15min 8 1.2typ 1.2typ 8 1 125
20 60 MJH6282(2) MJH6285(2) 750/18k 10 4 125
100 MJH6284(2) MJH6287(2) 750/18k 10 4 125
25 80 TIP35A TIP36A 15175 15 0.6 typ 0.3 typ 10 3 125
100 BD249C BD250C 10min 15 3 125
TIP35C TlP36C 15/75 15 0.6 typ 0.3 typ 10 3 125
(2)Oarlington
(8)When 2 voltages are given, the format is VCEO(sus)iVCES'

STYLE 2:
PIN 1. BASE
2. COLLECTOR

~~~~
3.

3 CASE340F
(TO-247 Type)

Table 3. Isolated Mounting Hole - Plastic TO-247 Type


Device Type Resistive Switching
Po
ICCont VCEO(sus) VCES Is If fT (Case)
Amps Volts Volts hFE @IC Jls Jls @IC MHz Watts
Max Min Min NPN PNP MinIMax Amp Max Max Amp Min @25°C
10 650 1500 MJW16212 4/10 10 4(3) 0.5(3) 5.5 150
800 1500 MJW16018 4 min 5 4.5 typ 0.2 typ 5 3typ 150
12 500 1200 MJW16206 5/13 10 2.25 0.25 6.5 3 typ 150
15 450 850 MJW16010 5min 15 1.2typ 0.2typ 10 150
850 MJW16012 7min 15 0.9 typ 0.15 typ 10 150
500 1000 MJW16010A 5 min 15 3 0.4 10 150
(3)Switching tests performed wlspecial application simulator circuit. See data sheet for details.
(lOlTested in Applications simulator. see Data Sheet.

Devices listed in bold, italic are Molorola preferred devices.

Molorola Master Selection Guide 5.5-7 Bipolar Power Transistors


STYLE 2:
PIN 1. BASE
2.' COLLECTOR
3. EMITTER

CASE 340G
(TO-264)
3

Table 4. Large Plastic TO-264


Device Type Resistive Switching
PD
IcCont VCEO(sus) ts tf tr (Case)
Amps Volts hFE @IC !lS @IC MHz Watts
!lS
Max Min NPN PNP MinIMax Amp Max Max Amp Min @25°C
15 200 MJL3281A MJL1302A 60/175 0.1 30typ 200
650/1500 MJL16218 4/11 12 2.5 typ 170
16 250 MJL21194 MJL21193 25n5 8 4 200

STYLE 1: STYLE 3:
PIN 1. EMITTER PIN 1. BASE
2. COLLECTOR 2. COLLECTOR
3. BASE 3. EMITTER

CASE 77
(TQ-225AA)

Table 5. Plastic T0-225AA Type (Formerly T0-126 Type)


Device Type Resistive Switching
Po
IcCont VCEO(sus) ts tf tr (Case)
Amps Volts hFE @IC Ils IlS @IC MHz Watts
Max Min NPN PNP MiniMax Amp Max Max Amp Min @25°C
0.3 350 MJE3439 40/160 0.02 15 15
0.5 150 MJE341 25/200 0.05 15 20.8
200 MJE344 30/300 0.05 15 20.8
250 2N5655 30/250 0.1 3.5 typ 0.24 typ 0.1 10 20
BD157 30/240 0.05 20
300 8D158 30/240 0.05 20
MJE340 MJE350 30/240 0.05 20.8
2N5656 30/250 0.1 3.5 typ 0.24typ 0.1 10 20

Devices listed in bold, italic are Motorola preferred devices.

Bipolar Power Transistors 5.5-8 Motorola Master Selection Guide


Table 5. Plastic T0-225AA Type (Formerly TO-126 Type) (continued)
OeviceType Resistive Switching
Po
IcCont VCEO(sus) ts tf IT (Case)
Amps Volts hFE @IC its its @IC MHz Watts
Max Min NPN PNP MiniMax Amp Max Max Amp Min @25'C
0.5 350 2N5657 30/250 0.1 3.5typ 0.24typ 0.1 10 20
B0159 30/240 0.05 20
1 40 2N4921 2N4918 20/100 0.5 0.6typ 0.3typ 0.5 3 30
60 2N4922 2N4919 20/100 0.5 0.6typ 0.3 typ 0.5 3 30
80 2N4923 2N4920 20/100 0.5 0.6typ 0.3 typ 0.5 3 30
1.5 45 B0165 B0166 15min 0.5 6 20
B0135 B0136 40/250 0.15 12.5
60 B0137 BOl38 40/250 0.15 12.5
80 B0169 15min 0.5 6 20
B0139 B0140 40/250 0.15 12.5
B0140-10 631160 0.15 12.5
300 MJE13002(11) 5/25 1 4 0.7 1 5 40
400 MJE13003(ll) 5/25 1 4 0.7 1 5 40
2 80 B0237 B0238 25 min 1 3 25
100 MJE270(2)(ll ) MJE271(2)(11) 1.5kmin 0.12 6 15
3 60 MJE181 MJE171 50/250 0.1 0.6 typ 0.12typ 0.1 50 12.5
80 B0179 B0180 40/250 0.15 3 30
MJE182 MJE172 50/250 0.1 0.6typ 0.12 typ 0.1 50 12.5
200 BUY49P 30 min 0.5 25 20
4 40 MJE521 MJE371 40 min 1 40
45 B0437 B0438 40 min 2 3 36
B0776(2) 750 min 2 20 15
60 B0440 25 min 2 3 36
B0677(2) B0678(2) 750 min 1.5 40
B0677A(2) B0678A(2) 750 min 2 40
B0787 B0788 20 min 2 50 15
B0777(2) B0778(2) 750 min 2 20 15
2N5191 2N5194 251100 1.5 0.4 typ 0.4 typ 1.5 2 40
MJE800(2) MJE700(2) 750 min 1.5 1(1) 40
2N6038(2) 2N6035(2) 750118k 2 1.7typ 1.2typ 2 25 40
80 2N5192 2N5195 25/100 1.5 0.4 typ 0.4 typ 1.5 2 40
B0441 B0442 15min 2 3 36
B0679(2) B0680(2) 750 min 1.5 40
B0679A(2) B0680A(2) 750 min 2 40
B0789 B0790 10min 2 40 15
(1) IhFEI @ 1 MHz
(2)Oarlington
(11)ease 77, Style 3

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.5-9 Bipolar Power Transistors


Table 5. Plastic TO-225AA Type (Formerly TO-126 Type) (continued)
Device Type Resistive Switching
Po
IcCont VCEO(sus) ts tf IT (Case)
Amps Volts hFE @IC ~ I's @IC MHz Watts
Max Min NPN PNP MiniMax Amp Max Max Amp Min @25°C
4 80 B0779(2) B0780(2) 750 min 2 20 15
MJE802(2) MJE702(2) 750 min 1.5 1(1) 40
MJE803(2) MJE703(2) 750 min 2 1(1) 40
2N6039(2) 2N6036(2) 750/18k 2 1.7typ 1.2typ 2 25 40
100 B0681(2) B0682..2) 750 min 1.5 40
B0791 B0792 10min 2 40 15
MJE243 MJE253 40/120 0.2 0.15typ 0.07 typ 2 40 15
5 25 MJE200 MJE210 45/180 2 0.13typ 0.035 typ 2 65 15

1~i 3 CASE 369A(13)

STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMITIER
4. COLLECTOR

Table 6. DPAK - Surface Mount Power Packages


OeviceType Resistive Switching
Po
ICCont VCEO(sus) ts tf IT (Case)
Amps Volts hFE @IC ~ I's @IC MHz Watts
Max Min NPN PNP MinIMax Amp Max Max Amp Min @25°C
0.5 300 MJ0340 MJ0350 30/240 0.05 15
1 250 MJD47 30/150 0.3 2 0.2 0.3 10 15
375 MJ05731 TBD TBD TBD TBD TBD TBD TBD
400 MJOSO 30/150 0.3 2 0.2 0.3 10 15
1.5 400 MJ013003 5/25 1 4 0.7 1 4 15
(1)lhFEI @ 1 MHz
(2)Darlington
(12)Case 369-07 may be ordered by adding -1 suffix to part number.
(13)Case 369A~13 may be ordered as tape and reel by adding a "T4" suffix; 2500 un~sJreel.

Devices listed in bold, italic are Motorola preferred devices.

Bipolar Power Transistors 5.5-10 Motorola Master Selection Guide


Table 6. DPAK - Surface Mount Power Packages (continued)
OeviceType Resistive Switching
Po
ICCont VCEO(sus) ts If ". (Case)
Amps Volls hFE @IC !LS !LS @IC MHz Watts
Max Min NPN PNP MiniMax Amp Max Max Amp Min @25°C
2 100 MJD112(2) MJD117(2) 1000 min 2 1.7 1.3 2 25(1) 20
3 40 MJD31 MJD32 10min 1 0.6 0.3 1 3 15
100 MJD31C MJD32C 10min 1 0.6 0.3 1 3 15
4 80 MJD6039(2) MJD6036(2) lk112k 2 1.7 1.2 2 25 20
100 MJD243 MJD253 40/180 0.2 0.16 0.04 1 40 12.5
5 25 MJD200 MJD210 45/180 2 0.15 0.04 2 65 12.5
6 100 MJD41C MJD42C 15/75 3 0.4 0.15 3 3 20
8 80 MJD44H11 MJD45H11 40 min 4 0.5 0.14 5 50lyp 20
100 MJD122(2) MJD127(2) lk112k 4 1.5 2 4 4(1) 20
10 60 MJD3055 MJD2955 20/100 4 1.5 1.5 3 2 20
80 MJD44E3(2) lkmin 5 2 0.5 10 20

~
~
_ _ .060"
~
STYLE 1:
PIN 1. BASE
CASE 1-07 CASE 197A T0-204AE 2. EMITIER
TO-204AA (Used for high currenl types al end of 3. COLLECTOR
table. See types w/footnote(16).)

Table 7. Metal TO-204AA (Formerly TO-3), TQ-204AE


OeviceType Resislive Switching
Po
IcConl VCEO(sus) Is If ". (Case)
Amps Volls hFE @IC !1S !Ls @IC MHz Watts
Max Mln(8) NPN PNP MinIMax Amp Max Max Amp Min @25°C
4 200 MJ15018 30 min 1 20 150
250 MJ15020 MJ15021 30 min 1 20 150
5 700/1500 BU20BA 2.5 min 4.5 8typ 0.4 typ 4.5 4typ 90
8 60 MJ1000(2) lkmin 3 90
2N6055(2) 750/18k 4 1.5 typ 1.5typ 4 4(1) 100
80 MJ1001(2) 1kmin 3 90
2N6056(2) 750/18k 4 1.5typ 1.5typ 4 4(1) 100
(1)lhFEI @ 1 MHz
(2)Darlington
(8)When 2 voltages are given, the format is VCEO(sus)iVCES'
(12)Case 369 may be ordered by adding -1 suffix to part number.
(13)Case 369A may be ordered as tape and reel by adding a "T4" suffix; 2500 unitsfreel.

Devices lisled in bold, italic are Motorola preferred devices.

Molorola Masler Selection Guide 5.5-11 Bipolar Power Transistors


Table 7. Metal TO-204AA (Formerly TO-3), TQ-204AE (continued)
OevlceType Resistive SWitching
Po
IcCont VCEO(sus) ts tf tr (Case)
Amps Volts hFE @IC I!S I!S @IC MHz Watts
Max Min(8) NPN PNP MiniMax Amp Max Max Amp Min @25°C
10 60 2N3715 2N3791 30 min 3 0.3 typ 0.4 typ 5 4 150
MJ3000(2) MJ2500(2) lkmin 5 150

80 2N3716 2N3792 30 min 3 0.3 typ O.4typ 5 4 150


2N5878 20/100 4 1 0.8 4 4 150
MJ3001 (2) MJ2501(2) lkmin 5 150
140 2N3442 20170 4 117

250 MJ15011 MJ15012 20/100 2 200


325 MJ413 20/80 0.5 2.5 125

MJ423 30/90 1 2.5 125


400 BU323A(2) 150 min 6 7.5 typ 5.2 typ 6 175
MJ10007(2) 30/300 5 1.5 0.5 5 10(1) 150
MJ10012(2) 100/2k 6 15 15 6 175
12 60 2N6057(2) 2N6050(2) 750/18k 6 1.6typ 1.5typ 6 4(1) 150

80 2N6058(2) 2N6051 (2) 750/18k 6 1.6typ 1.5 typ 6 4(1) 150


100 2N6059(2) 2N6052(2) 750/18k 6 1.6typ 1.5 typ 6 4(1) 150
15 60 2N3055 MJ2955 20170 4 0.7 typ 0.3 typ 4 2.5 115
2N3055A MJ2955A 20170 4 0.8 115
2N6576 (2) 2k120k 4 2 7 10 10-200(1) 120
2N5881 2N5879 20/100 6 1 0.8 6 4 160
80 2N5882 2N5880 20/100 6 1 0.8 6 4 160

90 2N6577 (2) 2k120k 4 2 7 10 10-200(1) 120


120 MJ15015 MJ15016 20170 4 0.7 typ 0.3typ 4 1 180
2N6578(2) 2k120k 4 2 7 10 10-200(1) 120
140 MJ15001 MJ15002 25/150 4 2 200
150 MJll018(2) MJll017(2) 100 min 15 3(1) 175
200 MJll 020 (2) 100 min 15 3(1) 175
MJ3281A MJ1302A 60/175 0.1 30typ 250
250 MJ11022(2) MJll019(2) 100 min 15 3(1) 175
MJ11021(2) 6/30 10 4 0.7 10 6t024 175
400/850 BUX48 8min 10 2 0.4 10 175

2N6547 6/30 10 4 0.7 10 6t024 175


400/650 MJ16110 6120 15 0.8 typ 0.1 typ 10 175
450/1000 BUX48A 8min 8 2 0.4 10 175
(l)lhFEI @ 1 MHz
(2)Darlington
(8)When 2 voltages are given, the format is VCEO(sus)iVCES'

Devices listed In bold, italic are Motorola preferred devices.

Bipolar Power Transistors 5.5-12 Motorola Master Selection Guide


Table 7. Metal TQ-204AA (Formerly TO-3), TQ-204AE (continued)
Device Type Resistive Switching
Po
ICCont VCEO(sus) ts tf IT (Case)
Amps Volts hFE @IC ~s ~s @IC MHz Watts
Max Min(S) NPN PNP MiniMax Amp Max Max Amp Min @25'C
15 450/850 MJ16010 5min 15 1.2typ 0.2 typ 10 175
MJ16012 7 min 15 0.9 typ 0.15 typ 10 175
16 140 2N3773 2N6609 15/60 8 1.1 typ 1.5typ 8 4 150
2N5631 2N6031 15/60 8 1.2typ 1.2 typ 8 1 200
200 MJ15022 MJ15023 15/60 8 5 250
250 MJ15024 MJ15025 15/60 8 5 250
MJ21194 MJ21193 25175 8 4 250
20 60 2N3772 15/60 10 2 150
2N6282(2) 2N6285 (2) 750/18k 10 2.5 typ 2.5 typ 10 4(1) 160
75 2N5039 20/100 10 1.5 0.5 10 60 140
80 2N6283(2) 2N6286 (2) 750/18k 10 2.5 typ 2.5 typ 10 4(1) 160
90 2N5038 20/100 12 1.5 0.5 12 60 140
100 2N6284 (2) 2N6287(2) 750/18k 10 2.5 typ 2.5 typ 10 4(1) 160
140 MJ15003 MJ15004 25/150 5 2 250
200 BUV11 10min 12 1.8 0.4 12 8 150
350 MJ10000(2) 40/400 10 3 1.8 10 10(1) 175
400 MJ10005(2) 40/400 10 1.5 0.5 10 10(1) 175
MJ13333 10/60 5 4 0.7 10 175
500 MJ10009(2) 30/300 10 2 0.6 10 8(1) 175
25 60 2N5885 2N5883 20/100 10 1 0.8 10 4 200
80 2N5886 2N5884 20/100 10 1 0.8 10 4 200
2N6436 30/120 10 1 0.25 10 40 200
100 2N6338 2N6437 30/120 10 1 0.25 10 40 200
120 2N6339 2N6438 30/120 10 1 0.25 10 40 200
140 2N6340 30/120 10 1 0.25 10 40 200
150 2N6341 30/120 10 1 0.25 10 40 200
30 40 2N3771 15/60 15 2 150
2N5301 2N4398 15/60 15 2 1 10 2 200
60 2N5302 2N4399 15/60 15 2 1 10 2 200
MJll012(2) MJll0ll(2) lkmin 20 4(1) 200
90 MJ11014(2) MJ11013(2) lkmin 20 4(1) 200
100 2N6328 6/30 30 3 200
MJ802 MJ4502 25/100 7.5 2 200
120 MJ11016(2) MJ11015(2) lk min 20 4(1) 200
(1)lhFEI @ 1 MHz
(2)Oarlington
(8)When 2 voltages are given, the lonnat is VCEO(sus)IVCES.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.5-13 Bipolar Power Transistors


Table 7. Metal TQ-204AA (Formerly T0-3), TQ-204AE (continued)
Device Type Resistive Switching
Po
IcCont VCEO(sus) ts tf IT (Case)
Amps Volts hFE @IC fls f!S @IC MHz Watts
Max Min(B) NPN PNP MiniMax Amp Max Max Amp Min @25°C
30 325 BUV23 8min 16 1.8 0.4 16 8 250
400/1000 BUS98 8min 20 2.3 0.4 20 250
BUX98 8min 20 3 0.8 20 250

450/850 MJ16020(16) 5 min 30 1.8 0.2 20 250


MJ1602:i. 16) 7min 30 1.5 0.15 20 250
450/1000 BUS98A 8min 16 2.3 0.4 16 250
BUX98A 8min 16 3 0.8 16 250
40 200 BUV21 (16) 10min 25 1.8 0.4 25 8 150
250 BUV22(16) 10min 20 1.1 0.35 20 8 250
350 MJl 0022 (2)(16) 50/600 10 2.5 0.9 20 250
400 MJ10023(2)(16) 50/600 10 2.5 0.9 20 250
50 60 2N5685(16) 15/60 25 0.5typ 0.3typ 25 2 300
80 2N5686(16) 2N5684(16) 15/60 25 0.5 typ 0.3 typ 25 2 300
90 MJ11030(2)(16) MJ11031(2)(16) 400 min 50 300
100 2N6274 (16) 30/120 20 0.8 0.25 20 30 250
120 2N6275(16) 2N6379(16) 30/120 20 0.8 0.25 20 30 250
MJ11032(2)(16) MJ11033(2)(16) 400 min 50 300
125 BUV20(16) 10min 50 1.2 0.25 50 8 250
BUV60(16) 10min 80 1.1 0.25 80 250
150 2N6277(16) 30/120 20 0.8 0.25 20 30 250
400 MJ10015(2)(16) 10min 40 2.5 1 20 250
500 BUT34(2)(16) 15min 32 3 1.5 32 250
MJ10016(2)(16) 10min 40 2.5 1 20 250
56 400 BUT33(2)(16) 20 min 36 3.3 1.6 36 250
60 60 MJ14001(16) 15/100 50 300
80 MJ14002(16) MJ14003(16) 15/100 50 300
200 MJl0020(2)(16) 75 min 15 3.5 0.5 30 250
250 MJ10021 (2)(16) 75 min 15 3.5 0.5 30 250
70 125 BUSSO(16) 15 min 50 1.5 0.3 70 350
80 100 BUV18A(16) 10min 80 1.1 0.25 80 250
(1)lhFEI @ 1 MHz
(2)Oarlington
(8)When 2 voltages are given, the format is VCEO(sus)iVCES'
(16)Case 197A-<l3 (T0-204AE)

Devices listed in bold, italic are Motorola preferred devices.

Bipolar Power Transistors 5.5-14 Motorola Master Selection Guide


Audio
GENERAL DESIGN CURVES FOR POWER AUDIO OUTPUT STAGES

V(BR)CEO Required on Output and Driver Transistor Output Transistor Peak Collector Current
versus versus
Output Power for 4, 8 and 18 Ohm Loads Output Power for 4, 8 and 16 Ohm Loads
500 50
16 OHMS fi)
300 a. 30
BOHMS \ ...... .... i-'
::;;
~ IIII 40HMS

-
fi)
:::;;..- .......... i-' f-
g~MS
~ ...... ~ i--'"
~ Z ... i-'
a I
2:- 40HMS
W
a:
10
" i-'
100 a:
::>

-
aw (J
70 f-
(J
50 ::> 5.0 160HMS
a.
a: f-
........
ID
.... ::>
3.0
V" ........ .... ...
:> 30 a
'"
«
w

30 50 100 300 500 1000


a.
1.0
10
V V'

30 50 100 300 500 1000


OUTPUT POWER (WAITS) OUTPUT POWER (WAITS)

Another important parameter that must be considered before selecting the output transistors is the saf~perating area these
devices must withstand. For a complete discussion see Application Note AN485.

Table 8. Recommended Power Transistors for Audio/Servo Loads


RMS PD IT
Power Watts hFE@ IC MHz ISB
Output NPN PNP Case @25'C VCEO MinIMax Amps Typ Volts/Amps

T025W MJE15030 MJE15031 T0-220 50 150 20 min 4 30 14/3.6


MJE15032 MJE15033 T0-220 50 250 50 min 1 40 50/1

25 to 50W 2N3055A MJ2955A TO-204 120 120 20170 4 3 60/2


MJ15001 MJ15002 T0-204 200 140 25/150 4 3 40/5

50 to 100W MJ15015 MJ15016 T0-204 180 120 20/70 4 3 60/3


MJ15003 MJ15004 TO-204 250 140 25/150 5 3 100/1
MJ15020 MJ15021 TO-204 150 250 30 min 1 20 50/3

Over 100W MJ15024 MJ15025 TO-204 250 250 15/60 8 8 80/2.2


MJ3281A MJ1302A T0-204 250 200 60/175 7 30 50/4
MJL3281A MJL1302A 340G-Ql 150 200 60/175 7 30 40/4
MJ21194 MJ21193 T0-204 250 250 25/75 8 7 100/2
MJL21194 MJL21193 340G-Ql 200 200 25/75 8 7 100/2

The Power Transistors shown are provided for reference only and show device capability. The final choice of the Power Transis-
tors used is left to the circuit designer and depends upon the particular safe-operating area required and the mounting and heat
sinking configuration used.

Motorola Master Selection Guide 5.5-15 Bipolar Power Transistors


For this growing ballast market Motorola offers optimized
Electronic Lamp Ballasts devices such as Power MOSFETs, Bipolar Transistors, Linear
drive ICs, custom Start-Stop ICs, Diodes and Silicon Bilateral
As in many other areas of its semiconductor activity, Switches.
Motorola is an industry leader in the fast growing market of Even more important are our efforts to develop the
Electronic Ballast Semiconductors. We introduced the first technology for tomorrow in close cooperation with the world's
dedicated devices for this market in 1988. Today, devices leading manufacturers of Electronic Transformers and Lamp
based on advanced technologies such as H2BIP (High Gain, Ballasts, as well as assisting them today in their choice of
High Frequency Bipolar) and ZPCMOS (Zero Power Control technology.
MOS) are leading the way in providing benefits for ballast This capability is driven from our centre of competence
manufacturers, consumers and the environment. based in Toulouse, France. An importantteam of Applications,
Two factors make the Electronic Lamp Ballast market grow Design, Product, Manufacturing and Marketing Engineers
at an ever increasing rate - Economics and the Environment. drives our worldwide dedication to this market.
Lamps based on Electronic Ballasts have long lifetimes The intention of this section is to provide you with a
and very low power consumption, so contributing to the 'snapshot' of our bipolar transistor products and capabilities.
efficient use of energy and to preservation of the environment. It is a document showing Motorola's professionalism in this
Motorola designs silicon solutions specifically for these area, and illustrating some of the expertise available to you-
applications. the Electronic Lamp Ballast manufacturer.

World Lamp Ballast Market

Bipolar Power Transistors 5.5-16 Motorola Master Selection Guide


Cross Reference Transistors for Electronic Lamp Ballasts
Motorola Motorola Motorola Motorola
Industry Direct Nearest Industry Direct Nearest
Part Number Replacement Replacement Part Number Replacement Replacement

2SC4053 MJE18004 BULD50 BUL44D2


2SC4546 BUL 146F BULD85 BUL45D2
2SC4630 MJF18004 BUT11AF MJF18004
2SC4820 MJF18002 BUT18 BUH100
BU1706A MJE18604D2 BUT93 BUL45
BU1708A MJE18604D2 BUT93D BUL44D2
BUD43B-1 BUD43B-1 BUV46 MJE18006
BUF610 MJE18004D2 KSC5021F MJE18004
BUF654 BUL146 KSC5027F MJE18604D2
BUH100 BUH100 MJD13003-1 MJE13003-1
BUH150 BUH1'50 MJE13003 MJE13003
BUH50 BUH50 MJE13005 MJE13005
BUH51 BUH51 MJE13007 MJE13007
BUL 146 BUL146 MJE13009 MJE13009
BUL 146F BUL146F MJE18002 MJE18002
BUL147 BUL147 MJE18004 MJE18004
BUL147F BUL147F MJE18004D2 MJE18004D2
BUL213 MJE18204 MJE18006 MJE18006
BUL216 MJE18206 MJE18008 MJE18008
BUL381 BUL45 MJE18009 MJE18009
BUL38D BUL45D2 MJE18204 MJE18204
BUL410 MJE18006 MJE18206 MJE18206
BUL416 MJE18604D2 MJE18604D2 MJE18604D2
BUL43B BUL43B MJF18002 MJF18002
BUL44 BUL44 MJF18004 MJF18004
BUL44D2 BUL44D2 MJF18006 MJF18006
BUL44F BUL44F MJF18008 MJF18008
BUL45 BUL45 MJF18009 MJF18009
BUL45D2 BUL45D2 MJF18204 MJF18204
BUL45F BUL45F MJF18206 MJF18206
BUL48 MJE18004D2 TD13003 MJD13003-1
BUL510 MJE18004D2 TD13004 BUF43B-1
BUL57 BUL 147 TE013005D BUL44D2-1
BUL67 BUL147 TE013007 MJE13007
BUL810 BUV48A TE013003 MJE13003
BUL87 BUL147 TE013005 MJE13005
BULD215 BUL45D2 TE013009 MJE13009

Motorola Master Selection Guide 5.5-17 Bipolar Power Transistors


Cross Reference Transistors for Electronic Lamp Ballasts
STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMITIER
4.
COLLECTOR ~~

\~,~,.~
3 (T0-220AB)

Table 9. TO-220AB Bipolar Transistors


ICCont VCEO(sus) VCES IC hFE min Inductive Switching Po (Case)
Amps Volts Volts Operating @ IC Operating @ IC Operating Walls
Max Min Min OeviceType Amps VCE= 1 V Tsi MiniMax (~s) @25'C
2 350 650 BUL43B 0.8 9 1.8/3.3 40
400 700 BUL44 0.8 10 2.6/3.8 50
400 700 BUL44D2' 0.8 20 2.05/2.35 50
450 1000 MJE18002 1 6 /2.75 50
4 500 800 BUH50 2 8 typ /2.5 50
5 400 700 BUL45 2 7 2.6/3.8 75
400 700 BUL45D2' 2 10 1.95/2.25 75
450 1000 MJE18004 2 6 /2.5 75
450 1000 MJE18004D2' 2 6 2.1/2.4 75
550 1200 MJE18204 2 5 /2.75 75
600 1600 MJE18604D2' 0.5 15 /1.0 75
6 400 700 BUL146 3 8 2.6/3.8 100
450 1000 MJE18006 3 6 /3.2 100
8 400 700 BUL147 4.5 8 2.6/3.8 125
450 1000 MJE18008 4.5 6 /3.2 125
550 1200 MJE18206 3 5 /2.75 100
10 400 700 BUH100 5 10typ /3.0 100
450 1000 MJE18009 7 8 /2.75 150
15 400 700 BUH150 10 8 typ /2.75 150
BUHXXX Senes are specified for Halogen applications.
suffix indicates transistor with built in C-E freewheeling diode and antisaturation network.
* 02

Bipolar Power Transistors 5.5-18 Motorola Master Selection Guide


Cross Reference Transistors for Electronic Lamp Ballasts
CASE 2210-02
Isolated TO-220~ype
UL Recognized
I'l.
_.

File #E69369 STYLE 1:


PIN 1. BASE
1 2. COLLECTOR
2 3. EMITTER
3
Table 10. Isolated T0-220 Bipolar Transistors
ICCont VCEO(sus) VCES IC hFE min Inductive Switching Po (Case)
Amps Volts Volts Operating @ IC Operating @ IC Operating Watts
Max Min Min OeviceType Amps VCE= 1 V Tsi MiniMax (~s) @25'C
2 400 700 BUL44F 0.8 10 2.6/3.8 25
450 1000 MJF18002 1 6 /2.75 25
5 400 700 BUL45F 2 7 2.6/3.8 35
450 1000 MJF18004 2 6 /2.5 35
550 1200 MJF18204 2 5 /2.75 40
6 400 700 BUL146F 3 8 2.6/3.8 40
450 1000 MJF18006 3 6 /3.2 40
8 400 700 BUL 147F 4.5 8 2.6/3.8 45
450 1000 MJF18008 4.5 6 /3.2 45
550 1200 MJF18206 5 6 /2.75 45
10 450 1000 MJF18009 7 8 /2.75 50

STYLE 1:
PIN 1. BASE
2. COLLECTOR
3. EMITTER
4. COLLECTOR

Table 11. DPAK Bipolar Transistors


ICCont VCEO(sus) VCES IC hFEmin Inductive Switching Po (Case)
Amps Volts Volts Operating @ IC Operating @ IC Operating Watts
Max Min Min OeviceType Amps VCE= 1 V Tsi MinIMax (~s) @25'C
2 350 650 BUD43B-1 0.8 9typ 1.8/3.3 25
400 700 BUD44D2-1* 0.8 20typ 2.05/2.35 25

STYLE 1: STYLE 3:
PIN 1. EMITTER PIN 1. BASE
2. COLLECTOR 2. COLLECTOR
3. BASE 3. EMITTER

Table 12. Case 77 (TO-22S) Bipolar Transistors


ICCont VCEO(sus) VCES IC
3 21

hFE min
~ CASE 77-08
(TO-225AA)

Inductive Switching Po (Case)


Amps Volts Volts Operating @ IC Operating @ IC Operating Watts
Max Min Min OevlceTYpe Amps VCE= 1 V Tsi MinIMax (~s) @25'C
1.5 400 700 MJE13003 1 6typ /3.0 40
4 400 700 BUH51 1 8 /3.75 50
BUHXXX Series are specified for Halogen applications .
• 02 suffix indicates transistor with bui~ in C-E freewheeling diode and antisaturation network.

Motorola Master Selection Guide 5.5-19 Bipolar Power Transistors


Bipolar Power Transistors 5.5-20 Motorola Master Selection Guide
Rectifiers

In Brief ...
Continuing investment in research and development for Page
discrete products has created a rectifier manufacturing facility Rectifier Numbering System ..................... 5.6-2
that matches the precision and versatility of the most advanced Application Specific Rectifiers . . . . . . . . . . . . . . . . . . .. 5.6-3
integrated circuits. As a result, Motorola's silicon rectifiers span Low VF Schottky ............................ 5.6-3
all high tech applications with quality levels capable of passing MEGAHERTZ ............................... 5.6-3
the most stringent environmental tests ... including those for SCANSWITCH .............................. 5.6-3
automotive under-hood applications. Additionally, the Automotive Transient Suppressors ............. 5.6-3
introduction of Motorola's first generation GaAs power devices SWITCHMODETM Rectifiers . . . . . . . . . . . . . . . . . . . . .. 5.6-4
is pushing the limits of today's rectifier technology. Surface Mount Schottky ...................... 5.6-4
Product Highlights: Axial Lead Schottky . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-6
T0-220 Type Schottky ....................... 5.6-7
• GaAs Rectifiers Power Manager™ with incredibly soft and
T0-218 Types and TO-247 Schottky ........... 5.6-8
hyperfast «15 ns) reverse recovery are ideally suited for
POWERTAP II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-9
high frequency power supplies, free wheeling diodes, and
Ultrafast Rectifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.6-10
as polarity protection diodes.
Surface Mount Ultrafast. . . . . . . . . . . . . . . . . . . . .. 5.6-10
• Surface Mount Devices - A major thrust has been the Axial Lead Ultrafast ......................... 5.6-10
development and introduction of a broad range of power T0-220 Type Ultrafast ...................... 5.6-11
rectifiers, Schottky and Ultrafast, 112 amp to 25 amp, 15 to TO-218 Types and T0-247 Ultrafast .......... 5.6-12
600 volts. POWERTAP II .............................. 5.6-12
• Application Specific Rectifiers - Fast Recovery Rectifiers/General
- MEGAHERlZTM series for high frequency power Purpose Rectifiers ....................... 5.6-13
supplies and power factor correction. GaAs Rectifiers Power Manager™ ............... 5.6-14
- Schottky rectifiers having lower forward voltage drop
(0.3 to 0.6 volts) for use in low voltage SMPS outputs
and as ''OR''ing diodes.
- Automotive transient suppressors.
• UHrafast rectifiers having reverse recovery times as low as
25 ns to complement the Schottky devices for higher
voltage requirements in high frequency applications.
• A wide variety of package options to match virtually any
potential requirement.
The rectifier selector section that follows has generally been
arranged by package and technology. The individual tables have
been sorted by voltage and current with the package types for
the devices listed shown above each table. The Application
Specific Rectifiers are also included in their respective tables.
Motorola's comm~ment to Six-Sigma is showing its worth.
Refined processes no longer produce fallout as such and
therefore only Motorola Preferred Devices are listed in the
tables. The non--preferred devices will continue to be offered, but
customers are encouraged to begin designing using the
preferred types.

Motorola Master Selection Guide 5.6-1 Rectifiers


RECTIFIER NUMBERING SYSTEM

PART NUMBER KEY xx xx xxx


PREFIX~~ 10
I
VR
I II R = REVERSE
L= LOWVF
(TYPE DESIGNATOR) (X10 EXCEPT
E = ENERGY
F = FULLY ISOLATED SCHOTIKY)
S = SURFACE MT (SMB/SMC) SUFFIX
(DUAL DESIGNATOR)
D= DPAK
B = D2PAK
H = MEGAHERTZ
V= D3PAK

PREFIX KEY MUR = MOTOROLA ULTRA FAST RECTIFIER


MBR = MOTOROLA (SCHOTTKY) BARRIER RECTIFIER
MGR = MOTOROLA GaAs RECTIFIER
MR = MOTOROLA STANDARD & FAST RECOVERY
SUFFIX KEY CT = CENTER TAP (DUAL) TO-220, TO-3, POWERTAP II
PT = CENTER TAP (DUAL) TO-218 PACKAGE
WT = CENTER TAP (DUAL) TO-247 / TO-3P

EXAMPLE: MUR 30 20 WT
MOTOROLA ULTRAFAST 30 AMP 200 V CENTER TAP (DUAL)
TO-247
EXAMPLE: MBR 30 45 WT
MOTOROLA SCHOTTKY 30 AMP 45V CENTER TAP (DUAL)
TQ-247

Rectifiers 5.6-2 Motorola Master Selection Guide


Application Specific Rectifiers
The focus for Rectifier Products continues to be on mainframe supplies. Our new product thrust is intended to be
Schottky and Ultrafast technologies, with process and more "application specific" than in the past, while continuing
packaging improvements to achieve greater efficiency in high to strive for broad market acceptance.
frequency switching power supplies, and high current

Table 1. Low VF Schottky Rectifiers


State of the art geometry is used in low VF Schottky devices for improved efficiency in low voltage, high frequency switching
power supplies, free-wheeling diodes, polarity protection diodes and "OR"ing diodes.
VF@ Rated 10
10 VRRM and Temperature IR @ Rated VRRM
Device Amps (Volts) Volts (Max) mAmps(Max) Package

MBR0520LT1 0.5 20 0.33 0.25 800-123


MBRS130LT3 1 30 0.395 1 5MB
MBRD835L 8 35 0.41 1.4 DPAK
MBRD1035CTL 10 35 0.41 6 DPAK
MBR2030CTL 20 30 0.48 5 T0-220
MBRB2535CTL 25 35 0.41 10 D2pAK
MBR2535CTL 25 35 0.41 5 T0-220
MBRB2515L 25 15 0.42 15 D2PAK
MBR2515L 25 15 0.42 15 TO-220
MBRB3030CTL 30 30 0.58 5 D2pAK
MBR4015LWT 40 15 0.42 5 T0-247
MBR5025L 50 25 0.58 0.5 TO-218
MBRP20030CTL 200 30 0.39 5 POWERTAPII
MBRP60035CTL 600 35 0.50 10 POWERTAPII

Table 2. MEGAHERTZ Rectifiers


MEGAHERTZ Series - This group of ultrafast rectifiers is designed to provide improved efficiency in very high frequency
switching power supplies and for use in power factor correction circuits.
Maximum
VF@ Rated IR @ Rated
10 VRRM 10 and Temp. VRRM t"
Device Amps (Volts) (Volts) (mAmps) (Nanosecond)

MURH840CTIMURHB840CT 8 400 1.7 0.01 28


MURH860CT 8 600 2.0 0.01 28

Table 3. SCANSWITCH Rectifiers


These ultrafast rectifiers are designed for improved performance in very high resolution monitors and work stations where
forward recovery time (tfr) and high voltage (1200-1500 volts) are primary considerations.
Maximum

10 VRRM tfr t,r VRFM (6)


Device Amps (Volts) (Nanoseconds) (Nanoseconds) (Volts)

MURBBOE 8 800 - 75 -
MUR10120E 10 1200 175 175 14
MUR10150E 10 1500 175 175 16

Table 4. Automotive Transient Suppressors


Automotive transient suppressors are designed for protection against over-voltage conditions in the auto electrical system
including the "LOAD DUMP" phenomenon that occurs when the battery open circuits while the car is running.
10 VRRM V(BR) IRSM(7) T
Device Amps (Volts) (Volts) (Amps) ee)
MR2535L1MR2535S 35 20 24-32 110 175
(6)VRFM = Maximum Transient Overshoot Voltage.
(7)Time constant = 10 ms, Duty Cycle,; 1%, T C = 25°C.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.6-3 Rectifiers


SWITCHMODETM Rectifiers
Schottky power rectifiers with the high speed and low There are many other standard features in Motorola
forward voltage drop characteristic of Schottky's metal/silicon Schottky rectifiers that give added performance and reliability.
junctions are produced with ruggedness and temperature
performance comparable to silicon-junction rectifiers. Ideal 1. GUARDRINGS were pioneered by Motorola and are
for use in low-voltage, high-frequency power supplies, and as included in all Schottky die for reverse voltage stress
very fast clamping diodes, these devices feature switching protection from high rates of dv/dt to virtually eliminate the
times less than 10 ns, and are offered in current ranges from need for snubber networks. The guard ring also operates like
0.5 to 600 amperes, and reverse voltages to 200 volts. a zener and avalanches when subjected to voltage transients.
In some current ranges, devices are available with junction 2. MOLYBDENUM DISCS on both sides of the die
temperature specifications of 125°C, 150°C and 175°C. minimize fatigue from power cycling in all metal products.
Devices with higher TJ ratings can have significantly lower Plastic encapsulated devices have a special solder
leakage currents, but higher forward-voltage specifications. formulation for the same purpose.
These parameter tradeoffs should be considered when 3. QUALITY CONTROL monitors all critical fabrication
selecting devices for applications that can be satisfied by more operations and performs selected stress tests to assure
than one device type number. constant processes. Motorola'S commitment to six sigma
All devices are connected cathode-to-case or has provided significant quality improvement.
cathode-to-heatsink, where applicable. Contact your
Motorola representative for more information.

Case 425 Case 4038-01 Case403A Case 403


SOO-123 SMA 5MB SMC

Cathode = Band

Table 5. Surface Mount Schottky Rectifiers


• •
Cathode = Notch Cathode = Notch

MaxVF@iF
Cathode = Notch

VRRM 10(1) 10 Rating TC = 25°C IFSM TJ Max


(Volts) (Amperes) Condition Device (Volts) (Amperes) eC) Package
20 0.5 TL = 105°C MBR0520LT1 * 0.310@0.1 A 5 125 SOO-123
0.385 @ 0.5A

30 0.5 TL = 105°C MBR0530TH 0.375 @0.1 A 5 125 SOO-123


0.430 @ 0.5 A

40 0.5 TL = 110°C MBR0540TH 0.53@ 0.5A 20 150 SOO-123


30 1 TL = 100°C MBRA130LT3* 0.395 @ 1.0 A - 125 SMA
40 1 TL = 100°C MBRA140T3* 0.55 @ 1.0 A - 125 SMA
30 1 TL = 120°C MBRS130LT3 0.395 @ 1.0A 40 125 5MB
40 1 TL= 115°C MBRS140T3 0.6 @ 1.0A 40 125 5MB
100 1 TL = 120°C MBRS1100T3 0.75 @ LOA 40 150 5MB
40 3 TL = 100°C MBRS340T3 0.525 @3.0A 80 125 SMC
60 3 TL = 100°C MBRS360T3* 0.74 @3.0A 80 125 SMC
(1) 10 is total device current capability.
* New Product

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers 5.6-4 Motorola Master Selection Guide


Case 433-{)1 Case 369A Case 418B

::J-0 4
1.4
03PAK OPAK 02PAK
Style 3 Style 3 "CT" Suffix:

1~ 3 3
Non-"CT" Suffix: :~4

Table 5. Surface Mount Schottky Rectifiers (continued)


MaxVF@ iF
VRRM 10(1) 10 Rating TC = 25°C IFSM TJ Max
(Volts) (Amperes) Condition Device (Volts) (Amperes) (OC) Package
40 3 TC=125°C MBRD340 0.60 @ 3.0A 75 150 OPAK
60 3 TC=125°C MBRD360 0.60@ 3.0A 75 150 OPAK
40 6 TC = 130°C MBRD640CT 0.70 @ 3.0A 75 150 OPAK
60 6 TC = 130°C MBRD660CT 0.70 @ 3.0A 75 150 OPAK
35 8 TC= 100°C MBRD835L* 0.40 @ 3.0A 100 125 OPAK
0.51 @ 8.0A
35 10 TC = 90°C MBRD1035CTU 0.49 @ lOA 100 125 OPAK
45 15 TC= 105°C MBRB1545CT 0.84 @ 15A 150 150 02PAK
60 20 TC= 110°C MBRB2060CT 0.95 @20A 150 150 02PAK
100 20 TC= 110°C MBRB20100CT 0.85 @ 10A 150 150 02PAK
0.95 @20A
200 20 TC= 125°C MBRB20200CT* 1.0@ 20A 150 150 02PAK
15 25 TC = 90°C MBRB2515L* 0.45 @ 25 A 150 100 02PAK
35 25 Tc= 110°C MBRB2535CTL 0.47 @ 12.5 A 150 125 02PAK
0.55 @ 25A
45 25 TC = 130°C MBRB2545CT 0.82 @30A 150 150 02PAK
30 30 TC=115°C MBRB3030CT* 0.51 @ 15A 300 150 02PAK
0.62 @ 30A
30 30 Tc = 95°C MBRB3030CTL* 0.45@ 15A 150 125 02PAK
0.51 @ 30A

30 40 TC = 110°C MBRB403~ 0.46 @ 20 A 300 150 02PAK


0.55 @ 40A

30 70 TC =90°C MBRV7030CTL * 0.5 @ 35A 500 150 03PAK


0.62 @ 70A
(1) 10 is total device current capability.
* New Product

Oevices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.6-5 Rectifiers


Case 26ic-{)~
cas~a;:~

"i
Cathode = Polarity Band
'~!
Cathode = Polarity Band

Table 6. Axial Lead Schottky Rectifiers


MaxVF@iF
VRRM 10 10 Rating TC=25'C IFSM TJMax
(Volts) (Amperes) Condition Device (Volts) (Amperes) ('C) Case
20 1 TA=55'C IN5817 0.45 @ 1.0A 25 125 59-04
RaJA = 80'CIW
30 1 TA=55'C IN5818 0.55 @ 1.0A 25 125 59-{)4
RaJA = 80'CIW
40 1 TA=55'C IN5819 0.60 @ 1.0A 25 125 59-{)4
RaJA = 80'CIW
60 1 TA=55'C MBR160 0.75 @ 1.0 A 25 150 59-04
RaJA = 80'CIW
100 1 TA= 120'C MBRII00 0.79 @ 1.0 A 50 150 59-04
RaJA = 50'CIW
20 3 TA = 76°C IN5820 0.457 @ 3.0A 80 125 267-{)3
RaJA = 28°CIW
30 3 TA=71°C IN5821 0.500@3.0A 80 125 267-{)3
RaJA = 28°CIW
40 3 TA=61'C IN5822 0.525 @3.0A 80 125 267-{)3
RaJA = 28'CIW
40 3 TA=65'C MBR340 0.600 @3.0A 80 150 267-{)3
RaJA = 28'CIW
60 3 TA=65°C MBR360 0.740 @3.0A 80 150 267-{)3
RaJA = 28'CIW
100 3 TA= 100'C MBR3100 0.79 @ 3.0A 150 150 267-{)3
RaJA = 28'CIW

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers 5.6-6 Motorola Master Selection Guide


Case 221B Case 221 A--u6 Case 221E Case 2210

I
(TO-220AC) (TO-220AB)

S~\~ r
30.,. r-
1~2'/4 0
a STYLE 1: :>2
Jr
4 CATHODE
2. NIA
STYLE 6:
PIN 1. ANODE
PIN 1. CATHODE
2. N/A ~ ST'f,\~t ANODE
3. ANODE 2. CATHODE 3. ANODE .. 2. CATHODE
4. CATHODE 3. ANODE 3. ANODE
4. CATHODE

12'~
1
' 23
1 1
3 3
2
Table 7. TO-220 Type Schottky Rectifiers
MaxVF@iF
VRRM 10 10 Rating =
TC 25°C IFSM TJ Max
(Volts) (Amperes) Condition Device (Volts) (Amperes) eC) Case
45 15 TC = 105°C MBR1545CT 0.84 @ 15A 150 150 221A--u6
30 20 TC= 13JOC MBR2030CTL* 0.52@ lOA 150 150 221A--u6
0.58 @ 20A

45 20 TC = 135°C MBR2045CT 0.84 @20A 150 150 221A--u6


60 20 TC= 133°C MBR2060CT 0.85 @ lOA 150 150 221A--u6
0.95 @ 20A

100 20 TC = 133°C MBR20100cT 0.85@ lOA 150 150 221A--u6


0.95 @ 20A
200 20 TC= 125°C MBR20200CT 1.0@ 20A 150 150 221A--u6
15 25 TC=90°C MBR2515L* 0.45 @ 25 A 150 100 221A--u6
35 25 TC = 95°C MBR2535CTL * 0.55 @ 25A 150 125 221A--u6
45 25 TC= 130°C MBR2545CT 0.82@ 30A 150 150 221A--u6
45 30 TC = 130°C MBR3045ST* 0.76 @ 30A 150 150 221A--u6
45 7.5 TC= 105°C MBR745 0.84@ 15A 150 150 221B
45 10 TC= 135°C MBR1045 0.84 @ 20A 150 150 221B
60 10 TC= 133°C MBR1060 0.80@ lOA 150 150 221B
100 10 TC= 133°C MBR10100 0.80@ lOA 150 150 221B
45 16 TC = 125°C MBR1645 0.63 @ 16A 150 150 221B
45 15 TC= 105°C ~ MBRF1545CT 0.84@ 15A 150 150 ISOLATED
2210
45 20 TC= 135°C ~ MBRF2045CT 0.84 @ 20A 150 150 ISOLATED
2210
60 20 TC= 133°C ~ MBRF2060CT 0.95 @ 20A 150 150 ISOLATED
2210
100 20 TC= 133°C ~ MBRF20100CT 0.95 @ 20A 150 150 ISOLATED
2210
200 20 TC= 125°C ~ MBRF20200CT 1.0@ 20A 150 150 ISOLATED
2210
45 25 TC= 125°C ~ MBRF2545CT 0.82 @ 25A 150 150 ISOLATED
2210
45 7.5 TC = 105°C MBRF745* 0.84@15A 150 150 ISOLATED
221E
45 10 TC= 135°C MBRF1045* 0.84@ 20A 150 150 ISOLATED
221E
~ Indicates UL Recognized - File #E69369
* New Product

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.6-7 Rectifiers


Case 340D Case 340E Case 340F
(TQ-218AC) (TO-218) (TQ-247)

l::to srr.1:i r3. CATHODE l::to srr.7~~:


~f
2, 4 4 STYLE 2:
4
3 - , PIN 1. ANODE 1 '" ANODE 3 " 4 ANODE 1
2. CATHODES 4. CATHODE 2. CATHODES
3. ANODE 2 3. ANODE2
4. CATHODES 4. CATHODES
(BACK HEATSINK)
1
1 1 2
2
3 3 3

Table 8. T0-218 Types and TO-247 Schottky Rectifiers


MaxVF@ iF
VRRM 10 10 Rating TC=2SoC IFSM TJMax
(Volts) (Amperes) Condition Device (Volts) (Amperes) eC) Case
45 30 TC= 105°C MBR3045PT 0.76@30A 200 150 340D
45 40 TC= 125°C MBR4045PT 0.70@20A 400 150 340D
0.80@40A
45 60 TC = 125°C MBR6045PT* 0.62 @ 30A 500 150 340D
0.75 @60A
25 50 TC = 125°C MBRS02SL* 0.54 @30A 300 150 340E
0.62 @50A
45 30 TC= 105°C MBR3045WT 0.76@30A 200 150 340F
15 40 TC= 125°C MBR401SLWT 0.42 @20A 400 150 340F
0.50 @40A
45 40 TC= 125°C MBR4045WT 0.70@20A 400 150 340F
0.80 @40A
45 60 TC = 125°C MBR6045WT 0.62 @ 30A 500 150 340F
0.75 @ 60A
30 70 TC = 135°C MBR7030WT 0.55 @ 35A 400 150 340F
0.72 @ 70A

* New Product

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers 5.6-8 Motorola Master Selection Guide


Case 357C
POWERTApTM

Cathode = Mounting Plate


Anode = Terminal

Table 9. POWERTAP II
MaxVF@ iF
VRRM 10(1) 10 Rating TC = 25'C IFSM TJ Max
(Volts) (Amperes) Condition Device (Volts) (Amperes) ('C) Case
30 200 TC = 125'C MBRP20030CTL * 0.52 @ 100A 1500 150 357C
0.60 @200A
45 200 TC= 125'C MBRP20045CT* 0.78 @ 100A 1500 175 357C
60 200 TC = 125'C MBRP20060CT* 0.800 @ 100A 1500 175 357C
45 300 TC = 120'C MBRP30045CT* 0.70 @ 150A 2500 175 357C
0.82 @ 300 A

60 300 TC= 120'C MBRP30060CT * 0.79 @ 150A 2500 175 357C


0.89 @300A

35 600 TC= 100'C MBRP60035CTL * 0.57 @ 300 A 4000 150 357C


(1) 10 IS total device current capability.
All POWERTAP devices were converted to the new, more rugged, POWERTAP II configuration beginning January 1994. Contact your Motorola representative
for more details.
* New Product

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.6-9 Rectifit;lrs


Ultrafast Rectifiers
Case403A Case 403 Case 369A Case 418B
5MB SMC OPAK 02PAK

• •
Style 3 Style 3 "CT" Suffix:

Non-"CT" Suffix: 31~4


~-

Cathode = Notch Cathode = Notch

Table 10. Surface Mount Ultrafast Rectifiers


MaxVF@iF
VRRM 10(1) 10 Rating Max trr TC=2SoC IFSM TJMax
(Volts) (Amperes) Condition Device (ns) (Volts) (Amperes) (OC) Package
200 1 TL = 155°C MURS120T3 35 0.875@ 1.0A 40 175 5MB
600 1 TL = 150°C MURS160T3 75 1.25@ 1.0A 35 175 5MB
200 3 TL= 140°C MURS320T3 35 0.875 @3.0A 75 175 SMC
600 3 TL=130°C MURS360T3 75 1.25@3.0A 75 175 SMC
200 3 TL = 158°C MURD320 35 0.95 @3.0A 75 175 OPAK
200 6 TL = 145°C MURD620CT 35 1.0 @ 3.0 A 63 175 OPAK
400 8 TL = 120°C MURHB840CT * 28 2.2 @4.0A 100 175 02PAK
200 16 TL = 150°C MURB1620CT 35 0.975@S.OA 100 175 02PAK
600 16 TL=150°C MURB1660CT 60 1.5@ 8.0A 100 175 02PAK
(1) 10 is total device current capability.
* New Product

cas~a;~~ Case 26ic-O:;

I
Cathode = Polarity Band
""",
Cathode = Polarity Band

Table 11. Axial Lead Ultrafast Rectifiers


MaxVF@iF
VRRM 10 10 Rating Maxtrr TC=2SoC IFSM TJMax
(Volts) (Amperes) Condition Device (ns) (Volts) (Amperes) eC) Case
200 1 TA= 130°C MUR120 25 0.875 @ LOA 35 175 59-04
RaJA = 50°C/W
600 1 TA= 120°C MUR160 50 1.25 @ 1.0 A 35 175 59-04
RaJA = 50°C/W
1000 1 TA = 95°C MUR1100E 75 1.75@ 1.0A 35 175 59-04
RaJA = 50°C/W
200 4 TA = 80°C MUR420 25 0.875 @3.0A 125 175 267-03
RaJA = 28°C/W
600 4 TA = 40°C MUR460 50 1.25 @ 3.0A 70 175 267-03
RaJA = 28°C/W
1000 4 TA=35°C MUR4100E 75 1.75 @3.0A 70 175 267-03
RaJA = 28°C/W

Oevices listed in bold, italic are Motorola preferred devices.

Rectifiers 5.6-10 Motorola Master Selection Guide


Case 2218 Case 221A-06 Case 221E Case 2210

::r
(T0-220AC) (TO-220AB)

::r'I
1
~ f 0
STYLE 1:
PIN; ~;;;HDDE
3. ANODE
4. CATHODE
1~2'/4
3~ ~
STYLE 6:
PIN 1.
2.
3.
4.
ANODE
CATHODE
ANODE
CATHODE
1
23
0
! STYLE 7:
PIN 1. CATHODE
2. ANODE
3. CATHODE
4. ANODE
2 ,4

'"
Il,
,if ,- 12'~J
STYLE1.
PIN 1. CATHODE

2NfA
W
I'"
2

Il.\'
STYLE 3:
PIN ,. ANODE
2. CATHODE
3. ANODE

3 3
2

Table 12. TO-220 Type Ultrafast Rectifiers


MaxVF@ iF
VRRM 10 10 Rating Max trr TC=2S'C IFSM TJ Max
(Volts) (Amperes) Condition Device (ns) (Volts) (Amperes) ('C) Case
200 6 TC = 130°C MUR620CT 35 0.975 @ 3.0 A 75 175 221A-D6
400 8 TC= 120'C MURH840CT 28 2,0 @ 4.0A 100 175 221A-06

600 8 TC= 120'C MURH860CT 35 2.8 @ 4.0A 100 175 221A-D6

200 16 TC = 150'C MUR1620CT 35 0.975 @ 8.0 A 100 175 221A-D6

200 16 TC = 160'C MUR1620CTR 85 1.2 @ 8.0 A 100 175 221A-06

400 16 TC = 150'C MUR1640CT 60 1.30 @ 8.0 A 100 175 221A-06

600 16 TC = 150°C MUR1660CT 60 1,5 @ 8.0A 100 175 221A-06


200 8 TC= 150'C MUR820 35 0.975 @ 8,0 A 100 175 221B
400 8 TC= 150'C MUR840* 50 1.30 @ 8.0A 100 175 221B

600 8 TC= 150'C MUR860* 50 1.50 @ 8.0A 100 175 221B

800 8 TC = 175'C MUR880E 75 1.80 @ 8.0A 100 175 221B


1000 8 TC= 150'C MUR8100E 75 1.80 @ 8.0A 100 175 221B
1200 10 TC = 125'C MUR10120E 175 2.2 @ 6.5A 100 125 221B
1500 10 TC= 125'C MUR10150E 175 2.4 @ 6.5 A 100 125 221B

200 15 TC= 150'C MUR1520 35 1.05@15A 200 175 221B


400 15 TC = 150'C MUR1540 60 1.25@ 15A 150 175 221B
600 15 TC = 145'C MUR1560 60 1.50@ 15A 150 175 221B
200 8 TC= 150'C MURF820* 25 0.975 @ 8.0 A 100 150 ISOLATED
221E

200 16 TC = 150°C 'M MURF1620CT * 25 0.975 @ 8.0 A 100 150 ISOLATED


2210

600 16 TC = 150'C 'M MURF1660CT* 50 1.50 @ 8.0A 100 150 ISOLATED


2210
~ Indicates UL Recognized - File #E69369
* New Product

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.6-11 Rectifiers


Case 340D Case 340E Case 340F
(TO-21BAC) (T0-21B) (TO-247)

1~ 2, 4 1~

~
4 sT'(,j~ 1CATHODE '4

~f
4 STYLE 2: STYLE 2:
3 , . PIN1.ANODEI '" 3. ANODE 3 .. PIN 1. ANODE 1
2. CATHODES 2. CATHODES
3. ANODE 2 4. CATHODE
4. CATHODES I • ~ ~: ~~~~6JES
12 (BACK HEATSINK)

1 1
2
3 3 3
Table 13. TO-218 Types and TO-247 Ultrafast Rectifiers
MaxVF@ iF
VRRM 10 10 Rating Max trr TC=2S"C IFSM TJMax
(Volts) (Amperes) Condition Device (ns) (Volts) (Amperes) eC) Case
200 30 TC = 145"C MUR3020WT 35 1.05@15A 150 175 340F
400 30 TC= 145"C MUR3040WT 60 1.25 @ 15A 150 175 340F
600 30 TC = 145"C MUR3060WT 60 1.70@15A 150 175 340F
200 30 TC = 150"C MUR3020PT 35 1.12 @ 15A 200 175 340D
400 30 TC = 150"C MUR3040PT 60 1.12@ 15A 150 175 340D
600 30 TC = 145"C MUR3060PT 60 1.20@ 15A 150 175 340D
400 30 TC = 70"C MUR3040* 100 1.5 @ 30A 300 175 340E
BOO 30 TC = 70"C MUR3080* 110 1.90 @ 30A 300 175 340E
400 60 TC = 70"C MUR6040 100 1.50 @ 60A 600 175 340E
* New Product

Case 357C
POWERTApTM

Cathode = Mounting Plate


Anode = Terminal
Table 14. POWERTAP II
MaxVF@ iF
VRRM 10(1) 10 Rating Max trr TC = 25"C IFSM TJMax
(Volts) (Amperes) Condition Device (ns) (Volts) (Amperes) ('C) Case
200 200 TC = 130"C MURP20020CT * 50 1.00 @ 100 A BOO 175 357C
400 200 TC = 100"C MURP20040CT * 50 1.30@ 100A BOO 175 357C
..
(1) 10 IS total deVice current capability.
All POWERTAP devices were converted to the new, more rugged, POWERTAP II configuration beginning January 1994. Contact your Motorola representative for
more details.

<M Indicates UL Recognized - File #E69369


* New Product

Devices listed in bold. italic are Motorola preferred devices.

Rectifiers 5.6-12 Motorola Master Selection Guide


Fast Recovery Rectifiers/General-Purpose Rectifiers
Axial lead Fast Recovery Rectifiers having maximum switching times of 200 ns and low cost general purpose rectifiers are listed
in the table below.

Case 194-04

C~7
Case 267-03 Case 193-04
Plastic Plastic Plastidl0)

Cathode = Polarity Band


7 I
Cathode = Polarity Band Cathode indicated by
diode symbol
~
Cathode = Polarity Band

Case 403B-ol Case 421A-Ol


SMA

Table 15. Fast Recovery Rectifiers/General Purpose Rectifiers


@
MaxVF@iF
VRRM 10 10 Rating =
TJ 25°C Max trr IFSM TJMax
(Volts) (Amperes) Condition Device (Volts) (ns) (Amperes) eC) Case
200 1 TC= 100°C MRA4003 1.1 @ 1.0A - 30 150
400 1 TC = 100°C MRA4004 1.1 @ 1.0A - 30 150
600 1 TC =100°C MRA4005 1.1 @ 1.0A - 30 150 4038-01
800 1 TC= 100°C MRA4006 1.1 @ 1.0A - 30 150
1000 1 TC = 100°C MRA4007 1.1 @ 1.0A - 30 150
400 1 TA=75°C 1N4004 1.1 @ 1.0A - 30 150
1000 1 TA = 75°C 1N4007 1.1 @ 1.0 A - 30 150
200 1 TA=75°C 1N4935 1.2@3.14A 200 30 150 59-03(9)
TJ = 125°C
600 1 TA = 75°C 1N4937 1.2@3.14A 200 30 150
TJ = 125°C
400 3 TL=105°C 1N5404 1.2 @ 9.4 A - 200 150
600 3 TL = 105°C 1N5406 1.2 @ 9.4 A - 200 150
267-03
200 3 TA = 80°C(10) MR852 1.25@3.0A 200 100 150
600 3 TA = 80°c(10) MR856 1.25 @ 3.0A 200 100 150
400 6 TA = 60°C MR754 1.25 @ 100A - 400 175
RaJA = 25°C/W
194-04
1000 6 TA = 60°C MR760 1.25@ 100A - 400 175
RaJA = 25°C/W
400 25 TC = 150°C MR2504 1.18@78.5A - 400 175
193-04
1000 25 TC= 150°C MR2510 1.18 @ 78.5 A - 400 175
20 35 TC = 150°C MR2535S 1.1 @ 100A - 400 175 421A-ol
20 35 TC = 150°C MR2535L(11) 1.1 @ 100A - 400 175 194-04
200 1 TL= 100°C MRA4935T3 1.1 @ 1.0A 200 30 150
400 1 TL= 100°C MRA4936T3 1.1 @ 1.0A 200 30 150 403B-ol
600 1 TL = 100°C MRA4937T3 1.1 @ 1.0A 200 30 150
(2)VRRM unless noted
(3)VRRM. TJ = 100°C unless noted
(9)Package Size: 0.120" max diameter by 0.260" length.
(10) Must be derated for reverse power dissipation. See data sheet.
(11) Overvoltage Transient Suppressor: 24-32 volts avalanche voltage.

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.6-13 Rectifiers


GaAs Rectifiers Power Manager™
For use in state-of-the-art high power density DC-DC GaAs devices as drop-in replacements for Si may
converters and high frequency power supplies, GaAs power eliminate the need for a snubber network or allow for a
rectifiers have several unique characteristics that make them significant reduction in network size. Performance
superior to Si-based devices. In particular, GaAs devices are improvements can therefore be achieved while reducing
acclaimed for their hyperfast and soft reverse recovery circuit size (increasing power density), decreasing EMI, and
characteristics with low stored charge. Also, the device enhancing overall system efficiency.
parameters are stable over a wide temperature range.

Table 16. T0-220 and 02PAK GaAs Rectifiers Power Manager™


MaxVF@10A
VRRM IDC Rating TC=25°C Maxtrr
(Volts) IDC(12) Condition Device (Volts) (ns) Case
180 10 Tc= 110°C MGR1018* 1.4 15 221A-06
180 10 Tc= 110°C MGRB1018* 1.4 15 4188
180 20 Tc = 130°C MGR2018CT* 1.4 15 221A-06
180 20 Tc= 130°C MGRB2018CT* 1.4 15 4188
250 20 Tc = 95°C MGR2025CT* 2.2 15 221A-06
250 20 Tc = 95°C MGRB2025CT* 2.2 15 4188
..
(12) IOC IS total deVice current capability.
* New Product
Case 4186 available in reel of 800 ''T4".

Devices listed in bold, italic are Motorola preferred devices.

Rectifiers 5.6-14 Motorola Master Selection Guide


Thyristors and Triggers

In Brief . ..
Motorola's broad line of Thyristors includes .... Page
• A full line of TRIACs and SCRs covering a forward Silicon Controlled Rectifiers ...................... 5.7-2
current range from 0.5 to 55 amperes and blocking TRIACs ....................................... 5.7-7
voltages from 15 to 800 volts. General Purpose ............................ 5.7-7
• Plastic package for lowest cost which includes the fully Thyristor Triggers .............................. 5.7-14
insulated plastic Case 221 C (TO-220 Isolated). SIDACs ................................... 5.7-14
• An extensive line of trigger devices that includes Programmable Unijunction Transistors - PUT .. 5.7-14
SIDACs, PUTs and SBS. Silicon Bidirectional Switch (SBS) ............. 5.7-14
Then there are the special applications devices for High Voltage Bidirectional TVS Devices ........ 5.7-14
Ignition circuits and Crowbar applications. Also included are
isolated packaged devices for appliances and surface mount
packages for surface mounting in space-saving
requirements.
Finally, there is the continued Motorola investment in
discrete-product R&D producing new capabilities such as
transient SIDACs for use in circuits sensitive to high voltage
transients.

Motorola Master Selection Guide 5.7-1 Thyristors


1(+)

seRs REVERSE
BLOCKING
REGION

VRRM
V(-) V(+)
Silicon ~_---''--'-'-:C_ - -IRRM

FORWARD
Controlled REVERSE
BLOCKING
I (_) REGION
Rectifiers AVALANCHE REGION

Table 1. SCRs - General Purpose Plastic Packages


0.8 to 55 Amperes RMS, 25 to 800 Volts

On-8tate (RMS) Current

O.BAMP 1.5 AMPS


TC=5BoC TC=BO°C TC=50°C

~I A

Case 29-04
K.
A G

Sensitive Gate
Case 318E
,IA

Case 29-04
VDRM
VRRM
TCl-226AA (T0-92) Style 10 SOT-223 STYLE 10 TO-226AA (T0-92) (Volts)
Style 10
25

50

100

MCR08BT1 200
MCR100-6 MCR08DT1 MCR22-6 400
500

MCR100-B MCR08MT1 MCR22-B 600

Maximum Electrical Characteristics

10 10 15 ITSM(Amps)
150(3) 60Hz

0.2 IGT(mA)

0.8 VGT(V)

-65 to -40 to -40 to TJ Operating


+110 +110 +125 Range ("C)

(3) Exponential decay 2 /JS wide at 5 time constants, f = 12 Hz.

Devices listed in bold, italic are Motorola preferred devices.

Thyristors 5.7-2 Motorola Master Selection Guide


seRs (continued)

Table 1. SCRs - General Purpose Plastic Packages (continued)

On-State (RMS) Current

4 AMPS
TC = 93°C TC=30°C

of Sensitive Gate
" A G
K
A
G

Surface Mount
VORM Case 77 Case 369 Case 369A
VRRM T0-225AA (TO-I26) Style 4 Slyle4
(Volts) Style 2
50 MCR106-2 C106F
2N6237

100 MCR106-3 C106A


2N6238
200 MCR106-4 C106B
2N6239
400 MCR106-6 C106D MCR716-1 MCR716
2N6240

600 MCR106-8 C106M MCR718-1 MCR718


2N6241
800

Maximum Electrical Characteristics

trSM(Amps) 25 20 25
60Hz

IGT(mA) 0.2 0.075

VGT(V) 1 0.8 1

TJ Operating -40to
Range (OC) +110

~ Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.7-3 Thyristors


seRs (continued)

Table 1. seRs - General Purpose Plastic Packages (continued)

On-State (RMS) Current


8 AMPS 10 AMPS
TC=70'C TC=83'C TC=80'C TC = 75°C
A A
A

'AI G
K
A
G
K
A
G

High Performance
A
G

Isolated ~ Sensitive Gate Sensitive Gate


Case 221 C-02 Case 221A-&4 Case 221 A-06 Case 221A-&4 VORM
Style 2 TO-220AB TO-220AB TO-220AB VRRM
Style 3 Style 3 Style 3 (Volts)

MCR72-2 50

MCR72-3 MCR310-3 100

MCR218-4FP MCR72-4 MCR31 0-4 200

MCR218-6FP MCR72-6 MCRBD MCRBSD MCR31 0-6 400

MCR218-6FP MCR72-8 MCRBM MCRBSM MCR310-8 600

MCR218-10FP MCR72-10 MCRBN MCRBSN MCR31Q-l0 800

Maximum Electrical Characteristics

80 100 80 100 ITSM(Amps)


60Hz

25 0.2 15 0.2 IGT(mA)

1.5 1 1.5 VGT(V)

Min. Min.

50 2 OVIDT V/!J.S8C

-40 to -40 to -40 to -4010 TJ Operating


+125 +110 +125 +110 Range ('C)

~ Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Thyristors 5.7-4 Motorola Master Selection Guide


SCRs (continued)

Table 1. SCRs - General Purpose Plastic Packages (continued)

On-8tate (RMS) Current

10AMPS 12AMPS 16 AMPS 2SAMPS


TC=7SoC TC=BO°C TC=BSoC

A A A

K~ K
A K~
( G

Sensitive Gate High Performance


VDRM Case 221 A-04 Case 221 A-06 Case 221 A-04
VRRM TO-220AB TO-220AB TQ-220AB
(Volts) Style 3 Style 3 Style 3

SO 2N6S04

100 2N6S0S

200 2N6S06

400 MCR12LD MCR12D MCR16D MCR25D 2N6S07

600 MCR12LM MCR12M MCR16M MCR25M 2N6S08

BOO MCR12LN MCR12N MCR16N MCR25N 2N6S09

Maximum Electrical Characteristics

ITSM(Amps) 100 1S0 300


60Hz

IGT(mA) 8 20 30 40

VGT(V) 1.S 2.2 1.7 1 1.S

Min. Min. Min. Min.

DVlDTVllJ.Sec SO SO SO SO

TJ Operating -40 to -40 to


RangeeC) +100 +125

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.7-5 Thyristors


SCRs (continued)

Table 1. SCRs- General Purpose Plastic Packages (continued)

On-State (RMS) Current

25 AMPS 40 AMPS 55 AMPS


TC=B5°C TC=BO°C TC=70°C

A A

,~
Case 221 A-tl4
\1G

Isolated'M
Case 221 C-{J2
K~
Case 221 A-tl4 VORM
TQ-220AB Style 2 To-22OAB VRRM
Style 3 Style 3 (Volts)

MCR69-2 MCR225-2FP 50

MCR69-3 100

MCR225-4FP MCR264-4 MCR265-4 200

MCR69-6 MCR225-6FP MCR264-6 MCR265-{1 400

MCR225-6FP MCR264-8 MCR265-{1 600

MCR225-10FP MCR264-10 MCR265-10 BOO

Maximum Electrical Characteristics

750(2) 300 400 550 ITSM(Amps)


60Hz

30 40 50 IGT(mA)

1.5 VGT(V)

-40 to TJ Operating
+125 Range (OC)

(2) Peak capacitor discharge current for tw = 1 ms. tw is defined as five time constants of an exponentially decaying current pulse
(crowbar applications).
'M Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Thyristors 5.7-6 Motorola Master Selection Guide


TRIACs

Table 2. TRIACs - General Purpose Plastic Packages


0.6 to 40 Amperes, 200 to 800 Volts

On-State (RMS) Current

0.6 AMP O.B AMPS 2.5 AMPS


TC = 50°C TC = BO°C TC = 70°C

MT2
a
_2
MT1
MT2 G
MT1 G
MT2 MT2 MT1

Sensitive Gate
Case 29-04 Case 318E Case 77
VDRM TQ-226AA (TQ-92) Style 11 TO-22SAA (TQ-126)
(Volts) Style 12 SOT-223 StyleS

200 MAC08BTt T2322B

400 MAC97-6 MAC97A6 MAC08DTt T2322D

600 MAC97-8 MAC97A8 MAC08MTt T2322M

Maximum Electrical Characteristics

ITSM(Amps) 8 10 25

IGT @ 25°C (mA)


MT2(+)G(+) 10 5 10 10
MT2(+)G(-) 10 5 10 10
MT2(-)G(-) 10 5 10 10
MT2(-)G(+) 10 7 10 10

VGT @ 25°C (V) 0.8


MT2(+)G(+) 2 2 2.2
MT2(+)G(-) 2 2 2.2
MT2(-)G(-) 2 2 2.2
MT2(-)G(+) 2.5 2 2.2
TJ Operating -40 to
Range (OC) +110

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.7-7 Thyristors


TRIACs (continued)

Table 2. TRIACs (continued)

On-5tate (RMS) Current

2.5 AMPS 4 AMPS 6 AMPS


TC=70°C TC=B5°C TC=BO°C
MT2

,I
MT2 MT1

Sensitive Gate
MT1

G
Case 77 Case 221 A-04
T0-225AA (TO-126) TO-220AB VORM
Style 5 Style 4 (Volts)

T2323B 2N6071 2N6071A 2N6071B T2500B 200

T2323D 2N6073 2N6073A 2N6073B T2500D 400

T2323M 2N6075 2N6075A 2N6075B T2500M 600

T2500N BOO

Maximum Electrical Characteristics

25 30 60 ITSM(Amps)

IGT @ 25°C (rnA)


25 30 5 3 25 MT2(+)G(+)
40 - 5 3 60 MT2(+)G(-)
25 30 5 3 25 MT2(-)G(-)
40 - 10 5 60 MT2(-)G(+)
@-40°C @-40°C VGT@25°CM
2.2 2.5 2.5 2.5 MT2(+)G(+)
2.2 - 2.5 2.5 MT2(+)G(-)
2.2 2.5 2.5 2.5 MT2(-)G(-)
2.2 - 2.5 2.5 MT2(-)G(+)
-40 to -40 to TJ Operating
+110 +100 Range (OC)

Devices listed in bold, italic are Motorola preferred devices.

Thyristors 5.7-8 Motorola Master Selection Guide


TRIACs (continued)

Table 2. TRIACs (continued)

On-State CRMS) Current

6 AMPS BAMPS
TC = BO°C TC=BO°C TC=70°C TC=BO°C

MT1
MT2
G
MT1
MT2
,G
MT1
MT2
G

Sensitive Gate

Isolated 9J. High Performance Isolated 9J.


Case 221 A-{)4 Case 221 A-06
VDRM Case 221 C-{)2 Case 221 c-{)2
T0-220AB T0-220AB
(Volts) Style 3 Style 3
Style 4 Style 4

200 T2500BFP MAC21BA4 MAC218A4FP

400 T2500DFP MAC21BA6 MACBSO MACBO MAC90 MAC21BA6FP

600 T2500MFP MAC21BA8 MACBSM MACBM MAC9M MAC21BA8FP

BOO T2500NFP MAC21BA10 MACBSN MACBN MAC9N MAC21BA10FP

Maximum Electrical Characteristics

ITSM(Amps) 100 70 BO 100


Min. Max.
IGT @ 25°C (rnA)
MT2(+)G(+) 25 50 O.B 5.0 35 50 50
MT2(+)G(-) 60 50 O.B 5.0 35 50 50
MT2(-)G(-) 25 50 O.B 5.0 35 50 50
MT2(-)G(+) 60 75(1) - - - - 75(1)

VGT @ 25°C (V)


MT2(+)G(+) 2.5 2 0.45 1.5 1.5 2
MT2(+)G(-) 2.5 2 0.45 1.5 1.5 2
MT2(-)G(-) 2.5 2 0.45 1.5 1.5 2
MT2(-)G(+) 2.5 2.5(1) - - - 2.5(1)

Min. Min. Min.


OViDT Vlllsec 25 250 500
T J Operating -40 to -40 to -40 to -40 to
Range (OC) +100 +125 +110 +125

~ Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.7-9 Thyristors


TRIACs (continued)

Table 2. TRIACs (continued)

On-State (RMS) Current

8 AMPS
TC=80°C
MT2

MTl
MT2
)~
G

Sensitive Gate
~,'
MT2
G

Isolated'M

Case 221 A-04 Case221C~


T0-220AB Slyle3 VORM
Slyle4 (Volts)
2N6342 T2800B MAC228A4 MAC228A4FP 200
2N6346
2N6343 T2800D MAC228A6 MAC228A6FP 400
2N6347
2N6344 T2800M MAC228A8 MAC228A8FP 600
2N6348
2N6345 MAC228A10 MAC228A1OFP 800
2N6349

Maximum Electrical Characteristics

100 80 ITSM(Amps)

IGT @ 25°C (mA)


50 25 5 5 MT2(+)G(+)
75(6) 60 5 5 MT2(+)G(-)
50 25 5 5 MT2(-)G(-)
75(6) 60 10(1) 10(1) MT2(-)G(+)
VGT @ 25°C (V)
2 2.5 2 MT2(+)G(+)
2.5(6) 2.5 2 MT2(+)G(-)
2.5 2.5 2 MT2(-)G(-)
2.5(6) 2.5 2.5(1) MT2(-)G(+)
-40 to -40 to -40 to TJ Operating
+125 +100 +110 Range cae)
(6) Denotes 2N6346-49 Series only.
'M Indicates UL Recognized - File #E69369

Thyristors 5.7-10 Motorola Master Selection Guide


TRIACs (continued)

Table 2. TRIACs (continued)

On-State (RMS) Current

10 AMPS 12 AMPS
Tc=70°c Tc = 75°C Tc=85°c

~,I ~,I
MT2 MT2

MT1
MTI ~ MT2
G
G
MT2
G
MT2
G
MT2 G
Isolated ~ Sensitive Gate Isolated~
Case 221 A-04 Case 221 C-02 Case 221 A-()4 Case 221 C-02 Case 221 A-04
VORM TO-22OAB Style 3 TO-220AB Style 3 TQ-220AB
(Volts) Style 4 Style 4 Style 4

200 MAC210A4 MAC210A4FP MAC310A4 MAC212A4FP MAC212A4

400 MAC210A6 MAC210A6FP MAC310A6 MAC212A6FP MAC212A6

600 MAC210A8 MAC210ASFP MAC310AS MAC212A8FP MAC212A8

800 MAC210A10 MAC210A10FP MAC310Al0 MAC212A10FP MAC212Al0

Maximum Electrical Characteristics

ITSM(Amps) 100

IGT @ 25°C (mA)


MT2(+)G(+) 50 5 50
MT2(+)G(-) 50 5 50
MT2(-)G(-) 50 5 50
MT2(-)G(+) 75(1) 10(1) 75(1)

VGT @ 25°C (V)


MT2(+)G(+) 2
MT2(+)G(-) 2
MT2(-)G(-) 2
MT2(-)G(+) 2.5(1)

TJ Operating -40 to
Range (OC) +125
~ Indicates UL Recognized - File #E69369

Motorola Master Selection Guide 5.7-11 Thyristors


TRIACs (continued)

Table 2. TRIACs (continued)

On-State (RMS) Current

12 AMPS 15 AMPS
TC = 80°C I TC=70°C TC=90°C TC=BO°C TC = 90°C

MT2

MT1
MT2
G
Sensitive Gate
~11
MT2
G

High
High Performance Isolated~
Performance
Case 221 A-04 Case221A~ Case 221 A-04 Case 221 Ao.OO Case 221 C-02
T~220AB ~220AB ~220AB T~220AB Style 3 VDRM
Style 4 Style 4 Style 4 Style 4 (Volts)

2N6346A MAC15A4 MAC15A4FP 200

2N6347A MAC12D MAC15D MAC15SD MAC15A6 MAC16D MAC15A6FP 400

2N634SA MAC12M MAC15M MAC15SM MAC15A8 MAC16M MAC15ASFP 600

2N6349A MAC12N MAC15N MACI5SN MAC15A10 MAC16N MAC15A10FP BOO

Maximum Electrical Characteristics

120 150 120 150 ITSM(Amps)

Min. Max.
IGT @ 25°C (mA)
50 35 O.S 5.0 50 50 50 MT2(+)G(+)
75 35 O.S 5.0 50 50 50 MT2(+)G(-)
50 35 O.S 5.0 50 50 50 MT2(-)G(-)
75 - - - 75(1) - 75(1) MT2(-)G(+)
VGT @ 25°C (V)
2 1.5 0.45 1.5 2 1.5 2 MT2(+)G(+)
2.5 1.5 0.45 1.5 2 1.5 2 MT2(+)G(-)
2 1.5 0.45 1.5 2 1.5 2 MT2(-)G(-)
2.5 - - - 2.5(1) - 2.5(1) MT2(-)G(+)
Min. Min. Min. Min.

250 250 25 500 DVlDTVlJ.lIIec


-40 to -40 to -40 to TJ Operating
+125 +110 +125 Range eC)

~ Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Thyristors 5.7-12 Motorola Master Selection Guide


TRIACs (continued)

Table 2. TRIACs (continued)

On-State (RMS) Current

20 AMPS 25 AMPS 40 AMPS


TC:75°C TC:80°C TC:75°C

~,'
MT2 MT2

MT2
G
Isolated~
MT1
MT2
G
W,' MT2
G
Isolated~
MTI
MT2
G

Case 221 C-02 Case221A~4 Case221C~2 Case221A~


VORM Style 3 To-220AB Style 3 To-220AB
(Volts) Style 4 Style 4

200 MAC320A4FP MAC320A4 MAC321-4 MAC223A4FP MAC223A4 MAC224A4

400 MAC320A6FP MAC320A6 MAC321-6 MAC223A6FP MAC223A6 MAC224A6

600 MAC320A8FP MAC320A8 MAC321--8 MAC223A8FP MAC223A8 MAC224A8

800 MAC320Al0FP MAC320Al0 MAC321-10 MAC223A1OFP MAC223A10 MAC224A10

Maximum Electrical Characteristics

ITSM(Amps) 150 250 350

IGT @ 25°C (rnA)


MT2(+)G(+) 50 100 50
MT2(+)G(-) 50 100 50
MT2(-)G(-) 50 100 50
MT2(-)G(+) 75(1) - 75(1)

VGT @ 25°C (V)


MT2(+)G(+) 2 2 2
MT2(+)G(-) 2 2 2
MT2(-)G(-) 2 2 2
MT2(-)G(+) 2.5(1) - 2.5(1)

TJ Operating -40 to
Range ("C) +125

~ Indicates UL Recognized - File #E69369

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.7-13 Thyristors


Thyristor Triggers
1(+)

Vs
V(-)
IH I IS
v (-) -=-+---I""'T= IS~-"5tf==....,;,,;-v (+)

1(-)
Table 3. SIDACs Table 5. Silicon
Bidirectional
High voltage trigger devices similar in operation to a Triac.
Switch (SBS)
Upon reaching the breakover voltage in either direction, the
device switches to a low-voltage on-state. This versatile trigger device exhibits highly symmetrical bi-

I Device Type
I ITSM Amps Min
VBO Yolts
I Max
directional switching characteristics which can be modified
by means of a gate lead. Requires a gate trigger current of
only 250 tJAdc for triggering.
Case 267-0311
YS
MKP3V110 20 100 120 Yolts
Device IS IH
MKP3V120 20 110 130
MKP3V130 20 120 140
Type Min I Max pAMax mAMax

Case 59-0411 Plastic T0-92fTO-226AA (Case 29-(4112)

I MKP1V120
. MKP1V130
4
4
110
120
130
140

Vp Table 6. High Voltage Bidirectional TVS Devices


Vs Primary Protection
VAK
VF -/+I-~---.'-£-7f'
VV-++I--"¥~

IGAO
(0,0)

Table 4. Programmable
Unijunction
Transistor Thyristor Surge Suppressors-Secondary Protection
-PUT
Package S0-8
Similar to UJTs, except that lV, Ip and intrinsic standoff 30 AMP, 150 mA Ih, Programmable Bidirectional
voltage are programmable (adjustable) by means of external Surge Suppressor
voltage divider. This stabilizes circuit performance for
variations in device parameters. General operating
frequency range is from 0.01 Hz to 10kHz, making them 30 AMP, 150 rnA Ih, Programmable Bidirectional
suitable for long-duration timer circuits. Surge Suppressor

Ip Iy • Telecom Line Card Protection


Device
Type
RG=
10 k.Q
I RG=
1 MCl
IGAO
@40Y
nAMax
RG=
10 k.Q
RG=
1 MQ


Dual Line Protection in a Single Package
2 Package Choices
• Bidirectional Capability
pAMax pA Min pAMax
• 30 AMP Surge
Plastic T0-92 (Case 29-(4116) • 150 mA Ih
10 70 50
• Low Gate Trigger Current
12N6027
2N6028 10 25 25

Devices listed in bold, italic are Motorola preferred devices.

Thyristors 5.7-14 Motorola Master Selection Guide


Optoelectronic
Devices

In Brief ...
Motorola's families of optoelectronic components encompass Page
red and infrared GaAs emitters and silicon detectors that are Optoisolators .................................. 5.8-2
well matched for a variety of applications. Safety Standard Approvals for 6-Pin
Optoisolators Optoisolators ............................... 5.8-2
Motorola's "Global" 6-Pin Dual In-line Package (DIP) Regulatory Approval Cerlification Index ..... . . .. 5.8-2
devices use infrared emitting diodes that are optically coupled VDE Approved Optoisolators .................. 5.8-3
to a wide selection of output (TranSistor, Darlington, Triac, and 6-Pin Dual In-line Package .................... " 5.8-6
Schmitt trigger) silicon detectors. These devices are Small Outline - Surface Mount .................. 5.8-9
guaranteed to provide at least 7500 volts of isolation between
POWER OPTO Isolators ....................... 5.8-10
the input and output and are 100% VISO tested. The entire line
of Motorola &-pin DIP packages are recognized by all major
safety regulatories including UL and VDE. This extensive line
of regulatory approvals attest to their suitability for use under
the most stringent conditions. Motorola also offers a line of
SOIC-8 small outline, surface mount devices that are UL
approved and ideally suited for high density applications.
POWER OPTOTM Isolators
The MOC2A40 and MOC2A60 series are the first
members of the POWER OPTOTM Isolator family from
Motorola. The MOC2A40/60 are 2 Amp @ 40°C/400 or 600
Vac[pk]lZero-Crossing/Optically Coupled Triacs. These
isolated AC output devices are ruggedized to survive the
harsh operating environments inherent in Industrial
Controller applications. Additionally, their thermally optimized
SIP package profile allows for high density stacking on 0.200"
centers and can handle 2 Amps @ 40°C (Free-Air Rating)
without the need for heatsinks, thermal grease, etc.

Motorola Master Selection Guide 5.8-1 Optoelectronic Devices


Safety Regulatory Approvals for Motorola's "Global" Optoisolators
Motorola's entire line of 6-pin optoisolators are approved by all major safety regulatories.

Safety Standard Approvals for 6-Pin Optoisolators

~
VDE
1M @~
UL eSA
®SETI
~ @
SEMKO DEMKO
®
NEMKO
~
BABT
GlobalOptoisolator™

MOCXXXX .(1)
· · · · · ·
SOCXXXX • (1)
· · · · · · ·
4NXXXXXX • (1)
· · · · · · ·
H1XXXXXX .(1)
· · · · · · ·
MCXXXXXX .(1)
· · · · ·
TIXXXXXX • (1) · · · · · ·
CNXXXXXX • (1)
· · · · ·
• = Approved

Regulatory Approval Certification Index


Regulatory Agency Certificate File Number
VDE(0883) 41853 (expired 12131/91)
VDE(0884)(1) 62054 (replaces VDE0883)
UL (isolation) E54915
UL (flammability) E-8436
CSA CA93952
FIMKO 41990
SEMKO 9313138
DEMKO Approved per SEMKO
NEMKO A99177
BABT CRlOl17
AUSTEL 038870711

Note: Motorola's 8-pin surface mount optocouplers are approved by UL only and have a guaranteed isolation
voltage of 3000 Vac(rms).

All Motorola 6-pin optocouplers are 100% tested for isolation voltage and are guaranteed to 7500 Vac(peak).

UL Flammability Rating = 94VO (File number E-8436) for all optocouplers.

(1) VDE 0884 testing is an option; the suffix letter "V" must be added to the standard part number.

Optoelectronic Devices 5.8-2 Motorola Master Selection Guide


VOE Approved Optoisolators
VDE has approved Motorola's entire portfolio of 6-pin DIP Optoisolators, a block diagram of which is shown in
optoisolators against their new components standard Figure 1, are devices which contain at least one emitter, which
VDE 0884 which replaces VDE 0883. The VDE 0884 is optically coupled to a photo-detector through some sort of
components standard requires additional electrical testing to an insulating medium. This arrangement permits the passage
a stringent isolation partial discharge test. of information from one circuit, which contains the emitter, to
The VDE 0883 specification expired 12/31/91. Motorola the other circuit containing the detector.
optoisolators can now be ordered to comply with the Because this information is passed optically across an
VDE 0884 specification. insulating gap, the transfer is one-way; that is, the detector
VDE approval is based on mechanical and electrical cannot affect the input circuit. This is important because the
performance of the Motorola package, shown in Figure 3. This emitter may be driven by a low voltage circuit utilizing an MPU
6-Pin DIP package incorporates specially developed or logic gates, while the output photo-detector may be part of
materials and assembly processes optimizing thermal and a high voltage dc or even an ac load circuit. The optical
moisture stability while maintaining the high level of LED life isolation prevents interaction or even damage to the input
and isolation voltage. All Motorola 6-pin DIP optoisolators are circuit to be caused by the relatively hostile output circuit.
made in this package, and have these approvals.

VDE 0884 Component Standard (replaces VDE 0883)


Electrical ratings in this standard are:
Input-to-Output Voltage, 1 second
VPrl = 1.6 VIDRM, Partial Discharge < 5 picocouloumbs,
Vprl = 1280 V(pk)
Maximum operating peak voltage, VIDRM =800 V(pk)
Isolation resistance: VI-O = 500 Vdc, 1011 n, TA = 100°C.
Note: The isolation partial discharge test VPrl, is performed ISOLATING
after the completion of the high voltage withstand (hipot) tests. DIELECTRIC
(LIGHT PIPE)

VDE 0883 Component Standard (expired 12131/91)


Figure 1. Block Diagram of Optoisolator
Electrical ratings in this standard were:
Isolation withstand voltages:
Various geometric designs have been used over the years
3750 VRMS, 1 min, TA = 100°C for the internal light cavity between the emitter and detector.
5300 Vdc, 1 min, TA = 100°C Motorola is the industry leader in isolation technology. All
Isolation surge withstand voltage: 6-pin optoisolators are guaranteed to meet or exceed 7500
10 kV per IEC 65, 50 discharges Vac (pk) input-to-output isolation. See Figure 2.
Isolation resistance:
1011 n, 500 Vdc, TA = 100°C WHITE OVERMOLD (EPOXY)

NOTE: VDE 0884/8.87 testing is an option; the suffix letter


·V" must be added to the standard part number. (See below.)
Standard thru hole - MOC3063V
0.4" wide spaced leadform - MOC3063TV (to satisfy 8 mm
spacing requirement)
Standard-profile surface mount - MOC3063SV
Tape and Reel for surface mount - MOC3063S/SR2V

THICKNESS THROUGH
INSULATION

Figure 2. Geometric Design for Optoisolators

Motorola Master Selection Guide 5.8-3 Optoelectronic Devices


VDE Approved Optoisolators (continued)
Equipment Standards Compliance WHITE OVERMOLD (EPOXY) CREEPAGE PATH
With the approval of the Motorola package to these
component standards, combined with its VDE approval
ratings, a wide range of Equipment Standards are covered.
The table below summarizes these Equipment Standard
coverages.
Two levels of electrical interface, or insulation, are used:
1. Reinforced, or safe, insulation; 2. Basic insulation.
Reinforced Insulation (sometimes referred to as "safe"
electrical isolation) is required in an optoisolator interfacing
between a hazardous voltage circuit, like an ac line, and a CLEARANCE 0.4"
touchable safe extra low voltage (SELV) circuit. ;--+I----"='-"'='-""-f-- SPECIAL -tlr--i
Basic Insulation is required in an optoisolator which "T"LEADBEND
interfaces between a hazardous voltage Circuit and a THICKNESS THROUGH
non-touchable, extra low voltage (ELV) circuit. INSULATION
The 6-pin DIP optoisolators are suitable for both levels of
Figure 3. "DOME" Package
electrical interface. The smaller sOIG-a optoisolators comply
with basic Insulation standards only.
Mechanical ratings are shown in the table below.

Examples for Safety Applications for Motorola VDE Approved Optoisolators


Requirements for reinforced (double) or sate insulation for
equipment with an operating voltage up to 250 Vrms
Standard (2) (line voltage to ELV or SELV interfaces)
DIN Clearance Isolation Dielectric . Isolation
VDE(5) IEC Equipment Creepage (1) Barrier Strength Resistance
[mm] [mm] [mm] [kVRMS] [0]
0806 950 Office Machines 8.0 8.0 0.5 3.75 7x 106
0805 950 Data Processing 8.0 8.0 - 3.75 7x 106
0804 - Telecommunication 8.0 8.0 - 2.5 2x 106
0860 65 Electrical Household 6.0 6.0 0.4 3.0 (10)" 4x 106
0113 204 Industrial Controls 8.0 8.0 - 2.5 1 x 106
0160 - Power Installations with Electronic Equipment 8.0 8.0 - 2.7 i x 106
0832 - Traffic Light Controls 8.0 8.0 - 2.5 4x 106
0883 - Alarm Systems 8.0 8.0 - 2.5 2 x 106
0831 - Electrical Signal System for Railroads 8.0 8.0 - 2.0 2 x 106
0110 - General Std. for Electrical Equipment 8.0 8.0 - 2.0 -
0883 - Optoisolator Component Standard 8.5 8.3(10) 0.5 3.75 (10)* 10x 1011
(obsolete 12131/91) (1)
0884(4) - Optoisolator Component Standard >7.5 >7.5 0.5 - 10x 1012
(replaces VDE0883) VDE Rating for Motorola 6-pin DIP Optoisolators
All Motorola 6-pin DIP Optoisolators meet or exceed the requirements of above listed VDE and DIN IEC Standards.
• Impulse discharge w~hstand vo~ge.
(1) To satisfy 8.0 mm creepage path on a PC board Motorola offers a special lead bend of 0.4 inch on all6-pin dual in-line optoisolators. Order by attaching "T" to
the end of the Motorola part number.
(2) VDE standards (translated into English language) and IEC standards can be ordered from the American National Standard Inst~ute ANSI, 1430 Broadway, NY.,
N. Y. 10018, Sales Department, 212-642-4900.
(3) Creepage path distances are measured from lead to lead across the top, bottom and ends of the Pllckage body.
(4) VDE 0884 testing is an option; the suffix letter "V" must be added to the standard n.umber.
(5) For more information regarding the use of VDE approved devices, refer to "VDE Circu~ Board Layout Design Rules' in the Applications Information section.

Optoelectronic Devices 5.8-4 Motorola Master Selection Guide


Optoisolators 6-Pin DIP Varieties and Lead Form Options
Transistor Darlington

1~6
* 1~6
* 5 5

: NC 4 : NC 4
CASE 730A-04
Resistor Darlington

::K;IT 0:~ Transistor Darlington AC Input


Transistor Output

I~C I~C
* * 1~6
6 6
2 5 2 5
3 NC 4 3 NC 4
2~ :

30-NC 4 3 NC

Style 1 Style 3
AC Input
Resistor-Darlington

lfj6
Random Phase Zero Crossing
Output
Schmitt Triggers Triac Driver Triac Driver

1~6
2
:t II
5 :fhIt:4 21~6 5 2 5

3 NC 4 3t0 3 4
3 NC 4

Zero Crossing
Style 5 Style 6 Circuit StyleS

An optoisolator consists of a gallium arsenide infrared

~ ~ ~
emitting diode, IRED, optically coupled to a monolithic silicon
photodetector in a wide array of standard devices and
encourages the use of special designs and selections for
special applications. All Motorola optoisolators have VISO S T
rating of 7500 Vac(pk), exceeding all other industry standard CASE (S) CASE 730C-04 (T) CASE 7300-05
ratings. 730A-04 Surface-mountable Wide-spaced (0.400)
Motorola offers global regulatory approvals, including UL, gulf-wing option lead form option
CSA, AUSTEL, NEMKO, BABT, SETI, SEMKO, and DEMKO.
VDE(1) approved per standard 0884/8.87, with additional Optoisolator
approvals to DIN IEC950 and IEC380NDE 0806, Lead Form Options:
IEC435NDE 0805, IEC65NDE 0860, VDE 110b, also Motorola's 6-pin, dual in-line optoisolators can be
covering all other standards with equal or less stringent ordered in either a surface-mountable, gull-wing lead
requirements, including IEC204NDE 0113, VDE 0160, VDE form or a wide-spaced 0.400" through-hole lead form,
0832, VDE 0833. which is used to satisfy B mm PC board spacing
(1) VOE 0884/8.87 testing is an option; the suffix "YO must be added to the
standard part number (see VOE Approved Optoisolators in Section 3). requirements. Please first consult factory regarding
availability for your lead form option, prior to
ordering!

• Attach "S" to any Motorola 6-pin, dual in-line part


number for surface-mountable, gull-wing lead form.
• Attach "T" to any Motorola 6-pin, dual in-line part
number for wide-spaced 0.400" through-hole lead
form.
Tape and Reel Options:
• Attach "SR2" suffix to any Motorola 6-pin, dual
in-line part number for tape and reeled,
surface-mountable, gull-wing lead form.

Motorola Master Selection Guide 5.8-5 Optoelectronic Devices


6-Pin Dual In-Line Package
Table 1. Transistor Output
CASE 730A-04
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-Base (Style 1)
Current Transfer trltf or ton*/toft*
Ratio (CTR) VCE(sat) Typ VF
V(BR)CEO
% Volts@ IF IC @ IC Volts
@ IF VCE VCC RL IF Volts@ IF
Device Min mA Volts Max mA mA lIS mA Volts Q mA Min Max mA

TIL111 8 16 0.4 0.4 16 2 5/5 2 10 100 30 1.4 16


4N27 10 10 10 0.5 50 2 1.211.3 10 10 100 30 1.5 10
4N28 10 10 10 0.5 50 2 1.211.3 10 10 100 30 1.5 10
4N38,A 20 20 1 1 20 4 1.6/2.2 10 10 100 80 1.5 10
4N25,A 20 10 10 0.5 50 2 1.211.3 10 10 100 30 1.5 10
4N26 20 10 10 0.5 50 2 1.211.3 10 10 100 30 1.5 10
MCT2 20 10 10 0.4 16 2 1.211.3 5 2k 15 30 1.5 20
MCT2E 20 10 10 0.4 16 2 1.211.3 2 10 100 30 1.5 20
CNY17-1 40-80 10 5 0.4 10 2.5 1.6/2.3" 5 75 10 70 1.65 60
MCT271 45-90 10 10 0.4 16 2 4.9*/4.5* 2 5 100 30 1.5 20
MOC8100 50 1 5 0.5 1 0.1 3.8/5.6 2 10 100 30 1.4 1
H11A1 50 10 10 0.4 10 0.5 1.211.3 2 10 100 30 1.5 10
H11A550 50 10 10 0.4 20 2 5*/5* 2 10 100 30 1.5 10
TIL117 50 10 10 0.4 10 0.5 5/5 2 10 100 30 1.4 16
TIL126 50 10 10 0.4 10 1 212 2 10 100 30 1.4 10
SL5501 45-250 10 0.4 0.4 20 2 20*/50* 5 1k 16 30 1.3 20
CNY17-2 63-125 10 5 0.4 10 2.5 1.6/2.3 5 75 10 70 1.65 60
MCT275 70-210 10 10 0.4 16 2 4.5*/3.5* 2 5 100 80 1.5 20
MCT272 75-150 10 10 0.4 16 2 6*/5.5* 2 5 100 30 1.5 20
4N35 100 10 10 0.3 10 0.5 3.214.7 2 10 100 30 1.5 10
4N36 100 10 10 0.3 10 0.5 3.214.7 2 10 100 30 1.5 10
4N37 100 10 10 0.3 10 0.5 3.214.7 2 10 100 30 1.5 10
CNY17-3 100-200 10 5 0.4 10 2.5 1.6/2.3 5 75 10 70 1.65 60
H11AV1 100-300 10 10 0.4 20 2 5*/4* 2 10 100 70 1.5 10
H11AV2 50 10 10 0.4 20 2 5*/4* 2 10 100 70 1.5 10
MCT273 125-250 10 10 0.4 16 2 7.6*/6.6* 2 5 100 30 1.5 20

Table 2. Transistor Output with No Base Connection


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Emitter, 5-Collector, 6-Base (Style 3)
MOC8101 50-80 10 10 0.4 5 0.5 3.2/4.7 2 10 100 30 1.5 10
MOC8102 73-117 10 10 0.4 5 0.5 3.2/4.7 2 10 100 30 1.5 10
MOC8103 108-173 10 10 0.4 5 0.5 3.2/4.7 2 10 100 30 1.5 10
MOC8104 160-256 10 10 0.4 5 0.5 3.2/4.7 2 10 100 30 1.5 10
MOC8105 65-133 10 10 0.4 5 0.5 3.2/4.7 2 10 100 30 1.5 10
MOC8111 20 10 10 0.4 10 0.5 3.2/4.7 2 10 100 30 1.5 10
MOC8112 50 10 10 0.4 10 0.5 3.214.7 2 10 100 30 1.5 10
MOC8113 100 10 10 0.4 10 0.5 3.214.7 2 10 100 30 1.5 10

Table 3. AC Input - Transistor Output


Pinout: 1-LED 1 AnodeILED 2 Cathode, 2-LED 1 CathodeILED 2 Anode, 3-N.C., 4-Emitter, 5-Co11ector, &-Base (Style 8)
Current Transfer trltf or ton */toft*
Ratio (CTR) VCE(sat) Typ VF
V(BR)CEO
% VCE Volts@ IF Ie @ IC Vce RL IF Volts Volts@ IF
@ IF
Device Min mA Volts Max mA mA I1s mA Volts Q mA Min Max mA
H11AA1 20 ±10 10 0.4 ±10 0.5 30 1.5 ±10
H11AA2 10 ±10 10 0.4 ±10 0.5 30 1.8 ±10
H11AA3 50 ±10 10 0.4 ±10 0.5 30 1.5 ±10
H11AA4 100 +10 10 0.4 +10 0.5 30 1.5 +10

Devices listed in bold, italic are Motorola preferred devices.

Optoelectronic Devices 5.8-6 Motorola Master Selection Guide


6-Pin Dual In-Line Package (continued)

CASE 730A-{)4
Table 4. Darlington Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-EmiHer, 5-Collector, 6-Base (Style 1)
Current Transfer tr'tf or ton "Iofl*
Ratio (CTR) VCE(sat) Typ VF
V(BR)CEO
0/0 IF VCE VOlts@ IF IC @ IC VCC RL IF Volts Volts@ IF
@
Device Min rnA Volts Max rnA rnA I1S rnA Volts n rnA Min Max rnA
4N31 50 10 10 1.2 8 2 0.6"'17" 50 10 200 30 1.5 10
4N29.A 100 10 10 1 8 2 0.6"/17" 50 10 200 30 1.5 10
4N30 100 10 10 1 8 2 0.6"/17" 50 10 200 30 1.5 10
MCA231 200 1 1 1.2 10 50 80 10 10 100 30 1.5 20
TIL113 300 10 1.25 1 50 125 300 125 15 100 30 1.5 10
4N32 500 10 10 1 8 2 0.6"'45- 50 10 200 30 1.5 10
4N33 500 10 10 1 8 2 0.6"/45" 50 10 200 30 1.5 10
H11B1 500 1 5 1 1 1 1/2 10 10 100 25 1.5 10
MOC8080 500 10 5 1 1 1 1/2 10 100 5 55 1.5 10

Table 5. Darlington Output with No Base Connection


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-EmiHer, 5-Collector, 6-N.C. (Style 3)
MOC119 300 10 2 1 10 10 1/2 2.5 10 100 30 1.5 10
MOCB030 300 10 1.5 1/2 50 100 10 80 2 10
MOC8020 500 10 5 1/2 50 100 10 50 2 10
MOC8050 500 10 1.5 1/2 50 100 10 80 2 10
MOC8021 1000 10 5 1/2 50 100 10 50 2 10

Table 6. Resistor Darlington Output


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-EmiHer, 5-Collector, 6-Base (Style 1)
H11G1 1000 10 1 1 1 1 5-/100" 5 100 10 100 1.5 10
H11G2 1000 10 1 1 1 1 5-/100" 5 100 10 80 1.5 10
H11G3 200 1 5 1.2 50 20 5-/100- 5 100 10 55 1.5 10

Table 7. High Voltage Transistor Output


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-EmiHer, 5-Collector, 6-Base (Style 1)
MOC8204
H11D1
H11D2

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.8-7 Optoelectronic Devices


6-Pin Dual In-Line Package (continued)

Table 8. Triac Driver Output CASE 730A-()4


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Main Terminal, S-Substrate, 6-Main Terminal (Style 6)
LED Trigger Zero Crossing
Peak Blocking Current-1FT Inhibit Voltage Operating
Voltage (VTM=3V) (at rated 1FT) Voltage dv/dt
Device Min mAMax Volts Max Vae VlIlS Typ
MOC3010 250 15 - 125 10
MOC3011 250 10 - 125 10
MOC3012 250 5 - 125 10
MOC3021 400 15 - 125/280 10
MOC3022 400 10 - 125/280 10
MOC3023 400 5 - 125/280 10
MOC3051* 600 15 - 125/280 2000
MOC3052' 600 10 - 125/280 2000
MOC3031 250 15 20 125 2000
MOC3032 250 10 20 125 2000
MOC3033 250 5 20 125 2000
MOC3041 400 15 20 125/280 2000
MOC3042 400 10 20 125/280 2000
MOC3043 400 5 20 125/280 2000
MOC3061 600 15 20 125/280 1500
MOC3062 600 10 20 125/280 1500
MOC3063 600 5 20 125/280 1500
MOC3162* 600 10 15 125/280 1000
MOC3163' 600 5 15 125/280 1000
MOC3081 800 15 20 125/280/320 1500
MOC3082 800 10 20 125/280/320 1500
MOC3083 800 5 20 125/280/320 1500
• New Device Offering

Table 9. Schmitt Trigger Output


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-Output, 5-Ground, 6-VCC (Style 5)

Threshold Threshold
Current On Current Off IF(offyIF(on) vCC t r• tf
Device mAMax mAMin Min Max Min Max IlsTyp
H11L1 1.6 0.3 0.5 0.9 3 16 0.1
HllL2 10 0.3 0.5 0.9 3 16 0.1
MOCSOO7 1.6 0.3 0.5 0.9 3 16 0.1
MOC5008 4 0.3 0.5 0.9 3 16 0.1
MOC5009 10 0.3 0.5 0.9 3 16 0.1

Devices listed in bold, ~alic are Motorola preferred devices.

Optoelectronic Devices 5.8-8 Motorola Master Selection Guide


Small Outline - Surface Mount
CASE 846-01
SO-8 DEVICES
Table 10. Transistor Output
Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-N.C., 5-Emitter, 6-Collector, 7-Base, 8-N.C. (Style 1)
Current Transfer VCE(sat) trltf Typ VF
Ratio (CTR)
V(BR)CEO
% @ IF VCE Volts@ IF IC @ IC VCC RL Volts Volts@ IF
Device Marking Min rnA Volts Max rnA rnA Jls rnA Volts Q Min Max rnA
MOC205.R2 205 40-80 10 10 0.4 10 2 1.6 2 10 100 70 1.5 10
MOC206,R2 206 63-125 10 10 0.4 10 2 1.6 2 10 100 70 1.5 10
MOC207,R2 207 100-200 10 10 0.4 10 2 1.6 2 10 100 70 1.5 10
MOC211,R2 211 20 10 10 0.4 10 2 3.2 2 10 100 30 1.5 10
MOC212,R2 212 50 10 10 0.4 10 2 3.2 2 10 100 30 1.5 10
MOC213,R2 213 100 10 10 0.4 10 2 3.2 2 10 100 30 1.5 10
MOC215,R2 215 20 1 5 0.4 1 0.1 3.2 2 10 100 30 1.3 1
MOC216,R2 216 50 1 5 0.4 1 0.1 3.2 2 10 100 30 1.3 1
MOC217,R2 217 100 1 5 0.4 1 0.1 3.2 2 10 100 30 1.3 1

Table 11. Darlington Output


Pinout: 1-Anode, 2-Cathode, 3-N.C., 4-N.C., 5-Emitter, 6-Collector, 7-Base, 8-N.C. (Style 1)

All devices are shipped in tape and reel format. (See Tape and Reel Specifications Section for more information.)
*No Base Connection to Pin 7

Table 12. AC Input - Transistor Output (Single Channel) (Style 2)


IMOC256,R2 I 256 I 20 I ±10 I 10 I 0.4 I±10 I 0.5 I 30 1.5 ±10

Table 13. Transistor Output (Dual Channel) (Style 3)


MOCD207,R2 D207 100-200 10 10 0.4 10 2 1.6 2 10 100 70 1.5 10
MOCD208,R2 D208 45-125 10 10 0.4 10 2 1.6 2 10 100 70 1.5 10
MOCD211,R2 D211 20 10 10 0.4 10 2 3.2 2 10 100 30 1.5 10
MOCD213,R2 D213 100 10 10 0.4 10 2 3.2 2 10 100 70 1.5 10
MOCD217,R2 D217 100 1 5 0.4 1 0.1 3.2 2 10 100 30 1.5 1

Table 14. Darlington Output (Dual Channel) (Style 3)


IMOCD223,R2 I D223 I 500 I I 5 I 10.5 I 2 5 10 100 30 1.3
R2 devices are shipped in tape and reel format. (See Tape and Reel Specifications Section for more information.)

Devices listed in bold, italic are Motorola preferred devices.

Motorola Master Selection Guide 5.8-9 Optoelectronic Devices


POWER OPTOTM Isolators

CASE 417-02 CASE 417A-02 CASE 417B-01


PLASTIC PACKAGE PLASTIC PACKAGE PLASTIC PACKAGE

Table 15. POWER OPTO Isolator 2 Amp Zero-Cross or Random Phase Triac Outputs
Pinout: (1,4,5,6,8 No Pin), 2 - LED Cathode, 3- LED Anode, 7-Main Terminal, 9-Main Terminal
Peak Blocking Led Trigger On State Voltage Zero Crossing dv/dt (static)
Voltage Current If T VTM (Rated 1FT Inhibit Voltage Operating v/llS (VIN = 200 V)
(Volts) (VTM=2V)mA ITM = 2 A) (Volts) (IF = Rated 1FT) Voltage (V/IlS)
Device Min Max Max (Volts) Max Vac Pk (Volts) Min
MOC2A4D-5 400 5 1.3 10 125 400
MOC2A4D-10 400 10 1.3 10 125 400
MOC2A60-5 600 5 1.3 10 125/220 400
MOC2A6D-10 600 10 1.3 10 125/220 400
All devices are shipped in rails.
No suffix = Case 417-02lStyle 2 (Standard Heat Tab),
"F" suffix = Case 417-02lStyle 1 (Flush Mount Heat Tab)
"C" suffix = Case 417B-01/Style 1 (Cut Tab)

Devices listed in bold, italic are Motorola preferred devices.

Optoelectronic Devices 5.8-10 Motorola Master Selection Guide


Sensors

In Brief ...
Pressure Sensors Page
Combining integrated circuit technology with the most Introduction ................................. 5.9-2
advanced pressure sensor architecture now offers an The Basic Structure .......................... 5.9-2
unrivaled combination of performance, reliability and design Motorola's Patented X-ducer . . . . . . . . . . . . . . . . .. 5.9-2
adaptability in a single monolithic pressure sensing element Linearity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3
- the Motorola MPX series of pressure transducers. Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.9-3
Available in several versions: Typical Electrical Characteristic Curves ......... 5.9-4
Unibody Cross-sectional Drawings. . . . . . . . . . . .. 5.9-4
• Fully signal conditioned for high-level output; Pressure Side Identification ................... 5.9-5
• High Impedance, temperature compensated and Selector Guide .............................. 5.9-6
calibrated, for low current designs; Reference Table ............................ 5.9-11
• Temperature compensated and calibrated, for simplified Packaging Options .......................... 5.9-12
circuit design;
• Uncompensated for unlimited adaptability
This series of sensors provides both electrical and
mechanical design-in options that uniquely fit the varying
requirements of the system designer.

Motorola Master Selection Guide 5.9-1 Sensors


Pressure Sensors
Introduction
Motorola pressure sensors combine advanced piezoresistive sensor architecture with integrated circuit technology to offer a
wide range of pressure sensing devices for automotive, biomedical, consumer and industrial applications. Selection versatility
includes choice of:
Pressure Ranges in PSI Application Measurements
o to 1.45, 0 to 6,0 to 7.3, 0 to 14.5, 0 to 29,0 to 75, 0 to 100, Absolute, Differential, Gauge
Oto 150
Sensing Options Package Options
Uncompensated, Temperature Compensated/Calibrated, Basic Element, Ported Elements for specific measurements
High Impedance, and Signal Conditioned (with on--chip
amplifiers)

The Basic Structure Motorola's Patented


The Motorola pressure sensor is designed utilizing a X-ducer™
monolithic silicon piezoresistor, which generates a changing
output voltage with variations in applied pressure. The Excitation current is passed longitudinally through the
resistive element, which constitutes a strain gauge, is ion resistor (taps 1 and 3), and the pressure that stresses the
implanted on a thin silicon diaphragm. diaphragm is applied at a right angle to the current flow. The
Applying pressure to the diaphragm results in a resistance stress establishes a transverse electric field in the resistor that
change in the strain gauge, which in turn causes a change in is sensed as voltage at taps 2 and 4, which are located at the
the output voltage in direct proportion to the applied pressure. midpoint of the resistor. The single-element transverse
The strain gauge is an integral part of the silicon diaphragm, voltage strain gauge can be viewed as the mechanical analog
hence there are no temperature effects due to differences in of a Hall effect device.
thermal expansion of the strain gauge and the diaphragm. The Using a Single element eliminates the need to closely match
output parameters of the strain gauge itself are temperature the four stress and temperature sensitive resistors that form
dependent, however, requiring that the device be a Wheatstone bridge design. At the same time, it greatly
compensated if used over an extensive temperature range. simplifies the additional circuitry necessary to accomplish
Simple resistor networks can be used for narrow temperature calibration and temperature compensation. The offset does
ranges, i.e., O°C to 85°C. For temperature ranges from -40°C not depend on matched resistors but instead on how well the
to +125°C, more extensive compensation networks are transverse voltage taps are aligned. This alignment is
necessary. accomplished in a single photolithographic step, making it
easy to control, and is only a positive voltage, simplifying
schemes to zero the offset.

ETCHEO
r--
I
DIAPHRAGM
BOUNDARY

I TRANSVERSE
I VOlTAGE STRAIN
PIN#
1. GROUND I
2. +VOUT L _'M!t?!!~_
3. Vs
4.-VOUT

Figure 1. Basic Uncompensated Sensor Element - Top View

Sensors 5.9-2 Motorola Master Selection Guide


Linearity
Linearity refers to how well a transducer's output follows
the equation: Vout =Voff + sensitivity x P over the operating
pressure range. There are two basic methods for calculating
nonlinearity: (1) end point straight line fit (see Figure 2) or
///1//
(2) a least squares best line fit. While a least squares fit gives
// //~I____~~~~~~L-_
the "best case" linearity error (lower numerical value), the
calculations required are burdensome. / / 1
Conversely, an end point fit will give the "worst case" error '/ ~ END POINT
(often more desirable in error budget calculations) and the // STRAIGHT LINE FIT
calculations are more straightforward for the user. Motorola's ///
specified pressure sensor linearities are based on the end
point straight line method measured atthe midrange pressure.

50 100

PRESSURE (% FULLSCALE)

Figure 2. Linearity Specification Comparison

Operation NEGATIVE PRESSURE POSITIVE PRESSURE


t
Motorola pressure sensors support three types
of pressure measurements: Absolute Pressure,
~LI
VACUUM

Differential Pressure and Gauge Pressure.
Absolute Pressure Sensors measure an I
external pressure relative to a zero-pressure I Absolute
reference (vacuum) sealed inside the reference I Sensor
chamber of the die during manufacture. This I
corresponds to a deflection of the diaphragm
vos -------1--
equal to approximately 14.5 psi (one atmo- 1 ATM PMAX PMAX
sphere), generating a quiescent full-scale output
- - - INCREASING VACUUM DIFFERENnAL PRESSURE - -
for the MPX100A (14.5 psi) sensor, and a INCREASING
INCREASING PRESSURE - -
half-scale output for the MPX200A (29 psi) Motorola sensing elements can withstand pressure inputs as high as four times their rated
device. Measurement of external pressure is capacity, although accuracy at pressures exceeding the rated pressure will be reduced.
accomplished by applying a relative negative When excessive pressure is reduced, the previous linearity will immediately be restored.

pressure to the "Pressure" side of the sensor.


Figure 3. Pressure Measurements
Differential Pressure Sensors measure the
difference between pressures applied simulta- Gauge Pressure readings are a special case of differential measure-
neously to opposite sides of the diaphragm. A ments in which the pressure applied to the "Pressure" side is measured
positive pressure applied to the "Pressure" side against the ambient atmospheric pressure applied to the ·Vacuum" side
generates the same (positive) output as an equal through the vent hole in the chip of the differential pressure sensor
negative pressure applied to the "Vacuum" side. elements.

Motorola Master Selection Guide 5.9--3 Sensors


Typical Electrical Characteristic Curves
100
40 r-- VS=10Vdc L
90

~ 25
TA= 25°C
35 r-- MPX2100
30 f--P1>P2 I - TVP
"i0'
-0 "
,,~
1 SPAN
:g-
80
70
RANGE 60
t- MAX "- ~ >
.s.

1
20 50
~~
I-

~
:::J
15 "-
I- 40
o 10 ~ "'MIN
:::J
0 30
~ I
20
~ 10
-5 tOFFSET O~O~~+-~~~~~~--~--~~
kPa 0 25 50 75 100 (TVP)
PSI 3.62 7.25 10.87 14.5 PSI 0 10 20 30 40
kPa PRESSURE DIFFERENTIAL
Figure 4. Output versus Pressure Differential Figure 5. Typical-Output Voltage versus
Pressure and Temperature for Compensated
and Uncompensated Devices

5.0
_I _
M~. --: ~
~
TRANSFER FUNCTION:
4.5
Vout = Vs' (0.OO9'P - O.04) ± error ~
4.0 Vs=5.0Vdc ~
--
3.5 TEMP = 0 to 85°C ~

I5 3.0 MPX51 DOD


2.5
P1 > P2
~'" TYP
a. ~
50 2.0
1.5 ~
1.0
A~
~
~ MIN
0.5
r::r I
00 10 20 30 40 50 60 70 80 90 100 110
DIFFERENTIAL PRESSURE (in kPa)
Figure 6. Signal Conditioned MPX5100

Unibody Cross-sectional Drawings


SILICONE GEL DIFFERENTIAUGAUGE STAINLESS STEEL SILICONE GEL ABSOLUTE
DIE COAT DIE METAL COVER DIE COAT DIE

~:;:;~~;;:J '-....=::;;;;:;~/:Il THERMOPLASTIC


u CASE

DIE
DIFFERENTIAUGAUGE ELEMENT BOND ABSOLUTE ELEMENT
P2 P2
Figure 7. Cross-Sectional Diagrams (not to scale)

Figure 7 illustrates the absolute sensing configuration The MPX series pressure sensor operating characteristics
(right) and the differential or gauge configuration in the basic and internal reliability and qualification tests are based on use
chip carrier (Case 344). A silicone gel isolates the die surface of dry air as the pressure media. Media other than dry air may
and wire bonds from harsh environments, while allowing the have adverse effects on sensor performance and long term
pressure signal to be transmitted to the silicon diaphragm. stability. Contact the factory for information regarding media
compatibility in your application.

Sensors 5.9-4 Motorola Master Selection Guide


Pressure Side Identification
Motorola designates the two sides of the pressure sensor The absolute sensor is designed for vacuum applied to P1
as the Pressure (P1) side and the Vacuum (P2) side. The side.
Pressure (P1) side is the side containing the silicon gel which The Pressure (P1 ) side may be identified by using the table
protects the die. The differential or gauge sensor is designed below.
to operate with positive differential pressure applied, P1 > P2.

Table 1. Pressure (P1) I Vacuum (P2) Side Identification


Part Number Case Type 4 PIN Positive Pressure Side Identifier
MPXxxxxA 344-08 Stainless Steel Cap
MPXxxxxD

MPXxxxxDP 352-02 Side with Part Marking

MPXxxxxAP 350-03 Side with Port Attached


MPXxxxxGP

MPXxxxxGVP 350-04 Stainless Steel Cap

MPXxxxxAS 371-06 Side with Port Attached


MPXxxxxGS

MPXxxxxGVS 371-05 Stainless Steel Cap

MPXxxxxASX 371C-02 Side with Port Attached


MPXxxxxGSX

MPXxxxxGVSX 3710-02 Stainless Steel Cap

Part Number Case Type 6 PIN Positive Pressure Side Identifier


MPXxxxxA 867-04 Stainless Steel Cap
MPXxxxxD

MPXxxxxDP 867C-03 Side with Part Marking

MPXxxxxAP 867B-03 Side with Port Attached


MPXxxxxGP

MPXxxxxGVP 867D-03 Stainless Steel Cap

MPXxxxxAS 867E-02 Side with Port Attached


MPXxxxxGS

MPXxxxxGVS 867A-03 Stainless Steel Cap

MPXxxxxASX 867F-02 Side with Port Attached


MPXxxxxGSX

MPXxxxxGVSX 867G-02 Stainless Steel Cap

MPXxxxxGVW 867H-02 Stainless Steel Cap

Motorola Master Selection Guide 5.9-5 Sensors


PRESSURE SENSOR PRODUCTS

Table 2. Uncompensated
Max Pressure Over Full Scale Linearity
Device Rating Pressure Offset Span Sensitivity 0/0 of FSS(1)
Series psi kPa (kPa) mV(Typ) mV(Typ) (mV/kPa) (Min) (Max)
MPX10D 1.45 .10 75 20 3s 3.5 -1.0 1.0
MPX50D 7.3 50 200 20 60 1.2 -0.25 0.25
MPX100D,A 14.5 100 200 20 60 0.6 -0.25 0.25
MPX200D,A 29 200 400 20 60 0.3 -0.25 0.25
MPX700A 100 700 2800 20 60 0.086 -1.0 1.0
MPX700D 100 700 2800 20 60 0.086 -0.50 0.50
MPX906D 0,87 6 100 20 20 3.3 -0.50 2.0

Table 3. Compensated and Calibrated (On-Chip)


MPX2010D 1.45 10 75 ±1.0 25 2.5 -1.0 1.0
MPX2050D 7.3 50 200 ±1.0 40 0.8 -0.25 0.25
MPX2052D 7..3 50 200 ±0.1 40 0.8 -0.55 0.25
MPX2100A 14.5 100 400 ±2.0 40 0.4 -1.0 1.0
MPX2100D 14.5 100 400 ±1.0 40 0.4 -0.25 0.25
MPX2200A 29 200 400 ±1.0 40 0.2 -1.0 1.0
MPX2200D 29 200 400 ±1.0 40 0.2 -0.25 0.25
MPX2700A 100 700 2800 ±2.0 40 0.057 -1.0 1.0
MPX2700D 100 700 2800 ±1.0 40 0.057 -0.5 0.5

Table 4. High Impedance (On-Chip)


-"
MPX7050D 7.3 50 200 ±1.0 40 0.8 -0.25 0.25
MPX7100A 14.5 100 400 ±2.0 40 0.4 -1.0 1.0
MPX7100D 14.5 100 400 ±1.0 40 0.4 -.0.25 0.25
MPX7200A 29 200 400 ±2.0 40 0.2 -1.0 1.0
MPX7200D 29 200 400 ±1.0 40 0.2 -0.25 0.25

Table 5. Compensated and Calibrated (On-Chip) Medical Grade


Max Pressure Supply Output Linearity
Rating Voltage Offset Sensitivity Impedance 0/0 of FSS(1)
Device Series psi 1 kPa (Vdc) mV(Max) (IlVNlmmHg) Ohms (Max) (Min) (Max)
MPX2300DTl 5.8 1 40 6.0 0.75 5.0 330 -2.0 I 2.0
(1 )Sased on end pOint straight line fit method. Best fit straight line linearity error is approximately 1/2 of listed value.

Table 6. Signal Conditioned (On-Chip)


Max Pressure Over Full Scale Accuracy
Rating Pressure Span Sensitivity (O-85°C)
Device Series psi kPa (kPa) V (Typ) (mVIkPa) 0/0 ofVFSS
MPX4100A 15.2 105 400 4.59 54 ±1.8
MPX4101A 14.7 102 400 4.59 54 ±1.8
MPX4115A 16.6 115 400 4.59 45.9 ±1.5
MPX4250A 36.2 250 400 4.69 20 ±1.5
MPX5010D 1.45 10 75 4.5 450 ±5.0
MPX5050D 7.3 50 200 4.5 90 ±2.5
MPX5100A 16.6 115 400 4.5 45 ±2.5
MPX5100D 14.5 100 400 4.5 45 ±2.5
MPX5500D 72.5 500 2000 4.5 9.0 ±2.5
MPX5700D 100 700 2800 4.5 6.0 ±2.5
MPX5999D 150 1000 4000 4.7 5.0 ±2.5

Table 7. New Products (Pressure)


Engineering
Device Series Data Sheet Samples Available Introduction Date Description
MPXS4100ASeries NOW NOW 2196 Surface Mount, 0-105 kPa Signal-Condnioned
MPXS4115A Sertes NOW NOW 2/96 Surface Mount, 15-115 kPa Signal-Conditioned
MPXT2010G Series NOW NOW 2196 Top Piston Fn, 0-10 kPa Temperature Compensated
and Calibrated
Bold italic Indicates product Introduced In the last 12 months.

Sensors 5.9-6 Motorola Master Selection Guide


Table 8. MPX10/50/100/200nOO Series (Uncompensated)
Pressure Range

Device Measurement/Porting Package oto 1.45 PSI oto 7.3 PSI o to 14.5 PSI o to 29 PSI o to 100 PSI
Type Options Options (0 to 10 kPa) (0 to 50 kPa) (0 to 100 kPa) (0 to 200 kPa) (0 to 700 kPa)

4-Pin Absolute Case 344-12 - - MPX100A MPX200A MPX700A


Basic Elements Differential Case 344-12 MPX10D MPX50D MPX100D MPX200D MPX700D

Ported Elements Absolute Port Case 350-05 - - MPX100AP MPX200AP MPX700AP

Absolute Stovepipe Case 371-07 - - MPX100AS MPX200AS MPX700AS

Absolute Axial Case 371 C-03 - - MPX100ASX MPX200ASX MPX700ASX

Differential Port Case 352-03 MPX10DP MPX50DP MPX100DP MPX200DP MPX700DP

Gauge Case 35Q-05 MPX10GP MPX50GP MPX100GP MPX200GP MPX700GP

Gauge Vacuum Case 350-06 MPX10GVP MPX50GVP MPX100GVP MPX200GVP -


Gauge Stovepipe Case 371-Q7 MPX10GS MPX50GS MPX100GS MPX200GS MPX700GS

Gauge Vacuum Stovepipe Case 371-Q8 MPX10GVS MPX50GVS MPX100GVS MPX200GVS -


Gauge Axial Case 371 C-03 MPX10GSX MPX50GSX MPX100GSX MPX200GSX MPX700GSX

Gauge Vacuum Axial Case 371 D-03 MPX10GVSX MPX50GVSX MPX100GVSX MPX200GVSX -

Table 9. MPX900 Series (Uncompensated) (Water vapor and soapy water vapor tolerant)
Pressure Range

Device Measurement Package o to 0.87 PSI


Type Options Options (Ot06 kPa)

6-Pin
Basic Element Differential Case 867-07 MPX906D

Ported Element Gauge Axial Case 867H-03 MPX906GVW

Table 10. MPX2000 Series (Temperature Compensated and Calibrated On-Chip)


Pressure Range

Measurement Package oto 1.45 PSI oto 7.3 PSI o to 14.5 PSI o to 29 PSI o to 100 PSI
Device Type Options Options (0 to 10 kPa) (0 to 50 kPa) (0 to 100 kPa) (0 to 200 kPa) (0 to 700 kPa)

4-Pin Absolute Case 344-12 - - MPX2100A MPX2200A MPX2700A


Basic Elements Differential Case 344-12 MPX2010D MPX2050D MPX2100D MPX2200D MPX2700D

Ported Elements Absolute Port Case 350-05 - - MPX2100AP MPX2200AP MPX2700AP

Absolute Stovepipe Case 371-07 - - MPX2100AS MPX2200AS MPX2700AS

Absolute Axial Case 371 C-03 - - MPX2100ASX MPX2200ASX MPX2700ASX

Differential Port Case 352-03 MPX2010DP MPX2050DP MPX2100DP MPX2200DP MPX2700DP

Gauge Case 350-05 MPX2010GP MPX2050GP MPX2100GP MPX2200GP MPX2700GP

Gauge Vacuum Case 350-06 MPX2010GVP MPX2050GVP MPX2100GVP MPX2200GVP -


Gauge Stovepipe Case 371-07 MPX2010GS MPX2050GS MPX2100GS MPX2200GS -
Gauge Vacuum Stovepipe Case 371-08 MPX2010GVS MPX2050GVS MPX2100GVS MPX2200GVS -
Gauge Axial Case 371 C-03 MPX2010GSX MPX2050GSX MPX2100GSX MPX2200GSX MPX2700GSX

Gauge Vacuum Axial Case 371 D-03 MPX2010GVSX MPX2050GVSX MPX2100GVSX MPX2200GVSX -

Motorola Master Selection Guide 5.9--7 Sensors


Table 11. MPX4000 Series (Signal Conditioned On-Chip)
Pressure Range

Measurement Package 3to15PSI 2.3 to 14.7 PSI 2.3 to 16.6 PSI 3 to 36.2 PSI
Device Type Options Options (20 to 105 kPa) (15 tol02kPa) (15toI15kPa) (20 to 250 kPa)

6-Pin
Basic Element Absolute Case 867-07 MPX4100A MPX4101A MPX4115A MPX4250A

Ported Element Absolute Port Case 867E-03 MPX4100AP MPX4101AP MPX4115AP MPX4250AP

Absolute Stovepipe Case 867F-03 MPX4100AS MPX4101AS MPX4115AS MPX4250AS

Absolute Axial Case 8678-04 MPX4100ASX MPX4101ASX MPX4115ASX MPX4250ASX

Table 12. MPX5000 Series (Signal Conditioned On-Chip)


Pressure Range

Device Measurement Package o to 1.45 PSI oto 7.3 PSI oto 14.5 PSI 2.3 to 14.7 PSI o to 75 PSI o to 100 PSI o to 150 PSI
Type Options Options (0 to 10 kPa) (0 to 50 kPa) (0 to 100 kPa) (15 to 115 kPa) (0 to 500 kPa) (0 to 700 kPa) (0 to 1000 kPa)

6-Pin Absolute Case - - - MPX5100A - - -


867-07
Basic Differential Case MPX5010D MPX5050D MPX5100D - MPX5500D MPX5700D MPX5999D
Element 867-07
Ported Absolute Case - - - MPX5100AP - - -
Element Port 8678-04
Absolute Case - - - MPX5100AS - - -
Stovepipe 867E-03
Absolute Case - - - MPX5100ASX - - -
Axial 867F-03
Differential Case MPX5010DP MPX5050DP MPX5100DP - MPX5500DP MPX5700DP -
Port 867C-05
Gauge Case MPX5010GP MPX5050GP MPX5100GP - MPX5500GP MPX5700GP -
8678-04
Gauge Case MPX5010GVP MPX5050GVP MPX5100GVP - - - -
Vacuum 867D-04
Gauge Case MPX5010GS MPX5050GS MPX5100GS - MPX5500GS MPX5700GS -
Stovepipe 867E-03
Gauge Case MPX5010GVS MPX5050GVS MPX5100GVS - - - -
Vacuum 867A-04
Stovepipe
Gauge Case MPX5010GSX MPX5050GSX MPX5100GSX - MPX5500GSX MPX5700GSX -
Axial 867F-03
Gauge Case MPX5010GVSX MPX5050GVSX MPX5100GVSX - - - -
Vacuum 867G-03
Axial

Sensors 5.9-8 Motorola Master Selection Guide


Table 13. MPX7000 Series (Temperature Compensated and Calibrated High Impedance On-Chip)
Pressure Range

Measurement Package 0107.3 PSI 01014.5 PSI 01029PSI


Device Type OpUons Oplions (01050 kPa) (0 10 100 kPa) (0 10 200 kPa)

4-Pln Absolute Case 344-12 - MPX7100A MPX7200A


Basic Elements Differential Case 344-12 MPX7050D MPX7100D MPX7200D

Ported Elements Absolute Port Case 35<Hl5 - MPX7100AP MPX7200AP

Absolute Stovepipe Case 371--{)7 - MPX7100AS MPX7200AS

Absolute Axial Case 371 C-03 - MPX7100ASX MPX7200ASX

Differential Port Case 352-{)3 MPX7050DP MPX7100DP MPX7200DP

Gauge Case 35<Hl5 MPX7050GP MPX7100GP MPX7200GP

Gauge Vacuum Case 35<Hl6 MPX7050GVP MPX7100GVP MPX7200GVP

Gauge Stovepipe Case 371--{)7 MPX7050GS MPX7100GS MPX7200GS

Gauge Vacuum Stovepipe Case 371--{)8 MPX7050GVS MPX7100GVS MPX7200GVS

Gauge Axial Case 371C--{)3 MPX7050GSX MPX7100GSX MPX7200GSX

Gauge Vacuum Axial Case 371D-{)3 MPX7050GVSX MPX7100GVSX MPX7200GVSX

Device Numbering System for Pressure Sensors

I
~~~~~~~~~~~~~~~~~~~_I_..J
Motorolarf_re_s_s_u_re_X_--D_u_c_e_r

I
l
MPX Y!l. !l. ### Z2.ZZ

MAXIMUM RATED PRESSURE IkPal


I
PACKAGE SELECTION MEDIA TOLERANT MEASUREMENT TYPEf!>ORTING OPTION

Unibody 9 Basic Element A Absolute Elemenl


B Backside Pislon Fil 2 Temp Camp & Cal AP Absolute Ported
D Dual Pislon Fit 4,5 Signal Condilioned AS Absolute Siovepipe Ported
S Surface Mount 7 High Impedance D Differenlial and Gauge Elemenl
T Top Piston Fit DP Differenlial Dual Ported
GP Gauge Ported
GVP Gauge Vacuum Ported
GS Gauge Stovepipe Ported
GVS Gauge Vacuum Siovepipe
GSX Gauge Vacuum Ported, Axial
GVSX Gauge Vacuum Stove Ported, Axial
GVW Gauge Vacuum, Water Tolerant

Note: Actual device marking may be abbreviated due to space constraints


but packaging label will reflect full part number.

Motorola Master Selection Guide 5.9-9 Sensors


ACCELERATION SENSOR PRODUCTS

Table 14. Accelerometer Sensor


Frequencyl Supply
Device Range Sensitivity Bandwidth (Hz) Current (fJA) Offset V
MMAS40G10D ±40g 4OJ.lv/g 400 5 2.9

Table 15. New Products (Accelerometer)


Engineering
Device Series Data Sheet Samples Available Introduction Date Description
MMAS250G 3096 NOW 2096 +/-250 9 Amplified Accelerometer

Device Numbering System for Accelerometers

MMA.s40G 10Q

MOTOROLA ~I tPACKAGE
MICROMACHINED

ACCELEROMETER AC SENSITIVITY TOLERANCE

SENSOR--------' ' - - - - - RANGE

Sensors 5.9--10 Motorola Master Selection Guide


EVALUATION TOOLS

Table 16. Sample Kits


Max Pressure Rating
Order
Device psi kPa Description Information
MPX2010DP 1.45 10 Device w/Literature KITNOK29/D
MPX2700DP 100 700 Device w/Literature KITMPX2700D/D
MPX700DP 100 700 Device w/Literature KITNOK321D
MPX5050DP 7.3 50 Device w/Literature KITMPX5050D/D
MPX5100DP 14.5 100 Device w/Literature KITMPX5100D/D
MPX5100AP 14.5 100 Device wlliterature KITMPX5100AlD
MPX7100DP 14.5 100 Device wlliterature KITMPX7100D/D
MPX7200DP 29 200 Device wlLiterature KITMPX7200D/D

Table 17. Evaluation Kits


Max Pressure
Rating
Order
Information Description Device psi I kPa
KITDEVB114/D
KITDEVB1731D
Pressure Sensor with Microprocessor
A Simple Sensor Interface Amplifier
DEVB-114/AN1305/D
DEVB-1731ANI3241D
14.5
14.5 I 100
100

Table 18. New Literature


Literature Description
DL200/D (Rev 2) Sensor Device Data Book
AN1516/D Liquid Level Control Using a Motorola Pressure Sensor
ANI517/D Pressure Switch Design with Semiconductor Pressure Sensors
AN1518/D Using a Pulse Width Modulated Output with Semiconductor Pressure Sensors
BR3005/D Senseon Image Brochure - Intelligent Sensor Solutions

REFERENCE TABLE
Table 19. Pressure Unit Conversion Constants (Most Commonly Used - Per International Conventions)
PSI(I) in. H20(2) in. Hg(3) KPascal millibar cm H20(4) mm Hg(5)
PSI(1) 1.000 27.681 2.036 6.8948 68.948 70.309 51.715

in. H20(2) 3.6126 x 10- 2 1.000 7.3554 x 10- 2 0.2491 2.491 2.5400 1.8683
in. Hg(3) 0.4912 13.595 1.000 3.3864 33.864 34.532 25.400

K Pascal 0.14504 4.0147 0.2953 1.000 10.000 10.1973 7.5006

millibar 0.01450 0.40147 0.02953 0.100 1.000 1.01973 0.75006

cm H20(4) 1.4223 x Hr2 0.3937 2.8958 x 10-2 0.09806 0.9806 1.000 0.7355
mmHg(5) 1.9337 x 10- 2 0.53525 3.9370 x 10- 2 0.13332 1.3332 1.3595 1.000

Motorola Master Selection Guide 5.9-11 Sensors


PRESSURE PACKAGING OPTIONS
4-PIN

BASIC ELEMENT GAUGE PORT GAUGE VACUUM PORT DUAL PORT AXIAL PORT
CASE 344-12 CASE3SD-oS CASE3SD-06 CASE 352-03 CASE 371 c-oo
SUFFIX AID SUFFIX AP IGP SUFFIXGVP SUFFIXDP SUFFIX ASX/GSX

MEDICAL CHIP PACK AXIAL VACUUM PORT STOVEPIPE PORT STOVEPIPE VACUUM PORT
CASE 423-04 CASE 3710-03 CASE 371-07 CASE 371-08
SUFFIX GVSX SUFFIXGVS SUFFIX AS/GS

6-PIN

BASIC ELEMENT GAUGE PORT GAUGE VACUUM PORT DUAL PORT


CASE 867-07 CASE 867B-04 CASE 8670-04 CASE 867C-OS
SUFFIX AID SUFFIX AP IGP SUFFIXGVP SUFFIXDP

AXIAL PORT AXIAL VACUUM PORT STOVEPIPE PORT STOVEPIPE VACUUM PORT STOVEPIPE MEDIA PORT
CASE 867F-OO CASE 867G-03 CASE 867E-03 CASE 867A-04 CASE 867H-03
SUFFIX ASX/GSX SUFFIX GVSX SUFFIX AS/GS SUFFIXGVS SUFFIXGVW

..
8-PIN
(NEW)

tfij)
DUAL PISTON FIT SURFACE MOUNT TOP PISTON FIT
CASE 434C-01 CASE 432-01 CASE 434A-03

ACCELEROMETER
PACKAGING

-
DIP PACKAGE
CASE 648C-03

Sensors 5.9-12 Motorola Master Selection Guide


RF Products

In Brief ...
While Motorola is considered to be the supermarket for Page
semiconductor products, there is not a category in which the RF Discrete Transistors ........................ 5.10-2
selection is more diverse, or more complete, than in products RF Power MOSFETs ........................ 5.10-4
designed for RF system applications. From MOS, bipolar RF Power Bipolar Transistors. . . . . . . . . . . . . . . .. 5.10-6
power and signal transistors to integrated circuits, Motorola's HFTransistors ........................... 5.10-6
RF components cover the entire spectrum from HF to VHF Transistors ......................... 5.10-6
microwave to personal communications. Yet, product expan- UHF Transistors ......................... 5.10-7
sion continues - not only to keep pace with the progressive 900 MHz Transistors ..................... 5.10-8
needs of the industry, but to better serve the needs of designers 1.5GHzTransistors ..................... 5.10-10
for a reliable and comprehensive source of supply. Microwave Transistors ................... 5.10-10
Linear Transistors ....................... 5.10-12
How to Use This Selector Guide RF Small Signal Transistors ................. 5.10-14
This new selector guide combines the RF products of Motorola Selection by Package .................... 5.10-15
Phoenix, Motorola Toulouse (France), and Motorola Hong Kong. Plastic SOE Case .................... 5.10-15
The products in this guide are separated FIRST into major Ceramic SOE Case ................... 5.10-17
categories such as Power FETs, Power Bipolar, Small Signal, Selection by Application . . . . . . . . . . . . . . . . .. 5.10-18
Monolithic Integrated Circuits, and Low and High Power Low Noise ........................... 5.10-18
Amplifiers. SECOND, within each category parts are listed by CATV, MATV and Class A Linear ....... 5.10-19
frequency band, except for small signal transistors and RF Monolithic Integrated Circuits ............... 5.10-20
monolithic integrated circuits, which are divided by Switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.10-21
application. Small signal transistor applications are low noise, Antenna Switches ....................... 5.10-21
linear amplifiers, switches, and oscillators. Monolithic Receiver Functions ........................ 5.10-21
integrated circuit application groupings are switching, receiver General Purpose Integrated Circuits ....... 5.10-21
functions and transmitter functions. THIRD, within a
900 MHz Front End ...................... 5.10-21
frequency band, transistors are further grouped by operating
1.5-2.2 GHz Front End .................. 5.10-21
voltage and, finally, output power.
2.4 GHz Front End ...................... 5.10-22
Remember Transmitter Functions ...................... 5.10-22
Applications assistance is only a phone call away - call the General Purpose Integrated Circuits ....... 5.10-22
nearest Semiconductor Sales office or 1-800-521-6274. 900 MHz Transmit Chain ................. 5.10-23
1.5-2.2 GHz Transmit Chain ............. 5.10-24
2.4 GHz Transmit Chain .................. 5.10-25
RF Amplifiers ................................ 5.10-26
High Power ............................... 5.10-28
Land Mobile/Portable .................... 5.10-28
TV Transmitters ......................... 5.10-29
Low Power. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 5.10-30
CATV Distribution ....................... 5.10-30
CRT Drivers ............................ 5.10-35
Fiber Optic Receivers . . . . . . . . . . . . . . . . . . .. 5.10-35

Motorola Master Selection Guide 5.10-1 RF Products


RF Discrete Transistors
In the following pages, the reader will find the most extensive group of RF Discrete Transistors offered by any semiconductor
manufacturer anywhere in the world today.
From Bipolar to FET, from Low Power to High Power, the user can choose from a variety of packages. They include plastic, metal
can and ceramic that are microstrip circuit compatible or surface mountable. Many are designed for automated assembly
equipment.
Major sub-headings are MOSFETs, Power Bipolar and Small Signal.

¥ ¥
CASE 145A-09
STYLE 1
(.380" STUD)
CASE 145D-02
STYLE 1
(.380"SOE)
~ ~ CASE 211-07
STYLE 1, 2
(.380" FLANGE)
CASE21H1
STYLE 1, 2
(.500" FLANGE)
CASE 244
STYLE 1
(.280" STUD)

~ CASE 249
STYLE 1, 3
(.280" PILL)
>fCASE 305
STYLE 1
(.204" STUD)
~ CASE305A
STYLE 1, 2
(.204" PILL)
X CASE305C
STYLE 1
CASE305D
STYLE 1

~ CASE 316-01
STYLE 1, 3
X
CASE 317
STYLE 1, 2
~
CASE 317D
STYLE 2
~ CASE 319
STYLE 1, 2, 3
CASE 319A
STYLE 2
(.500"CQ) (MACRO-X) (CS-12)

~ ~ CASE 319B
STYLE 1
CASE 328A-03
STYLE 1
¥ CASE 332-04
STYLE 1, 2
u ~ CASE 332A
STYLE 2
CASE 333
STYLE 1
(.280" STUD) (.280" PILL)

~ CASE 333A
~
CASE336E
QCASE 355C
~ CASE 355D
STYLE 1, 2 STYLE 1 STYLE 1 STYLE 1
(MAACPAC)

RF Products 5.10--2 Motorola Master Selection Guide


r-------------------------------------------------------."----.-----"--------------------~

CASE 355E CASE355G CASE 355H-01 CASE 360A CASE 360B


STYLE 1 STYLE 1 STYLE 1 STYLE 2 STYLE 1
(Micro 250)

CASE360C CASE 368 CASE 375 CASE 375A CASE 375B


STYLE 1 STYLE 2 STYLE 2 STYLE 1 STYLE 2
(Viper) (HOG PAC) (Micro 860)

CASE 376B CASE 376C CASE 390B CASE 395B CASE 395C
STYLE 1 STYLE 1 STYLE 1 STYLE 1 STYLE 1, 2

CASE 398 CASE 400 CASE 401 CASE412 CASE 430


STYLE 1 STYLE 1 STYLE 1 STYLE 1 STYLE 2

CASE 430B CASE 451 CASE 458 CASE744A CASE 751


STYLE 1 STYLE 1 STYLE 1 STYLE 1, 2 STYLE 1
(S0-8)

Motorola Master Selection Guide 5.10-3 RF Products


RF Power MOSFETs
Motorola RF Power MOSFETs are constructed using a planar process to enhance manufacturing repeatability. They are
N-channel field effect transistors with an oxide insulated gate which controls vertical current flow.
Compared with bipolar transistors, RF Power FETs exhibit higher gain,. higher input impedance, enhanced thermal stability and
lower noise. The FETs listed in this section are specified for operation in RF Power Amplifiers and are grouped by frequency range
of operation and type of application. Arrangement within each group is first by order of voltage then by increasing output power.

Table 1. To 54 MHz
Designed for broadband HF & VHF commercial and industrial applications. The high gain and broadband performance of
this device makes it ideal for large-signal, common-source amplifier applications in 12.5 volt mobile and base station
operation.
Pin
Pout Input Power T]
Output Power Typical G ps (Typ)/Freq. Eff., Typ 9JC
Device Watts Watts dBIMHz % °CIW Package/Style

VCC = 12.5 Volts, Class AB


IMRF255* I 55 0.8 16/54 45 1.0 211-11/2

Table 2. To 150 MHz HF/SSB


For military and commercial HF/SSB fixed, mobile and marine transmitters.

Pin G ps TypicailMD
Pout Input Power Typical
Output Power Typical Gain dB @ d3 d11 9JC
Device Watts Watts 30 MHz dB
I dB 'C/W Package/Style

Voo = 28 Volts, Class AB

Voo = 50 Volts, Class AB


MRF148 30 0.5 18 -35 -60 1.5 211-07/2
MRF150 150 3 17 -32 -60 0.6 211-11/2
MRF154 600 12 17 -25 - 0.13 368/2
MRF157 600 6 20 -25 - 0.13 368/2

Table 3. To 225 MHz VHF AM/FM


For VHF military and commercial aircraft radio transmitters.
Pin T]
Pout Input Power Efficiency
Output Power Typical Gps (Typ)/Freq. Typical 9JC
Device Watts Watts dB/MHz % °e/W Package/Style

Voo = 28 Volts, Class AB


MRFl34 5 0.2 14/150 55 10 211-07/2
MRF136 15 0.38 16/150 60 3.2 211-07/2
MRF136Y 30 1.2 14/150 54 1.8 319B/l
MRF137 30 0.75 16/150 60 1.8 211-07/2
MRF173 80 4 131150 65 0.8 211-11/2
MRF175LV 100 4 14/225 65 0.65 33311
MRF174 125 8.3 11.8/150 60 0.65 211-11/2
MRF141 150 15 10/175 55 0.6 211-11/2
MRF175GV 200 8 14/225 65 0.44 375/2
MRF141G 300 30 10/175 55 0.35 375/2

Voo =50 Volts, Class AB


MRF151 150 7.5 131175 45 0.6 211-11/2
MRF176GV 200 4 17/225 55 0.44 375/2
MRF151G 300 7.5 16/175 55 0.35 375/2

* New Product

RF Products 5.10-4 Motorola Master Selection Guide


Table 4. To 500 MHz VHF/UHF AM/FM
For VHF/UHF military and commercial aircraft radio transmitters.

Pin
Pout Input Power 11
Output Power Typical Gps (Typ)IFreq. Eft., TYP 9JC
Device Watts Watts dBIMHz % °CIW Package/Style
Voo =28 Volts, Class AB
MRF158 2 0.02 20/400 55 13.2 305A12
MRF160 4 0.08 17/400 50 7.2 249/3
MRF166C 20 0.4 17/400 55 2.5 319/3
MRF164W 20 0.4 16.5/400 50 1.5 41211
MRF166W 40 2 13/400 50 1.0 41211
MRF175LU 100 10 10/400 55 0.65 33311
MRF177 100 6.4 121400 60 0.65 744A12
MRF177M 100 6.4 121400 60 0.65 390B/1
MRF175GU 150 9.5 12/400 55 0.44 375/2

Voo =50 Volts, Class AB


IMRF176GU I 150 6 14/400 50 0.44 375/2

Table 5. To 520 MHz


Designed for broadband VHF & UHF commercial and industrial applications. The high gain and broadband performance of
these devices make them ideal for large-signal, common-source amplifier applications in 12.5 volt mobile and base station
operation.
Pin
Pout Input Power 11
Output Power TYpical Gps (Typ)IFreq. Eft., Typ 9JC
Device Watts Watts dB/MHz % °CIW Package/Style

VCC =7.5 Volts, Class AB


MRF5003(18a) 3 0.27 10.5/512 50 430/2
MRF5007(18a) * 7 0.5 11.5/512 55 430B/1

VCC =12.5 Volts, Class AB


MRF5015 15 1.1 11.5/512 55 31913
MRF5035 35 6.3 7.51512 55 316-01/3

Table 6. To 1.0 GHz


For HFNHF/UHF military and commercial radio transmitters.
Pin
Pout Input Power 11
Output Power TYpical Gps (Typ)lFreq. Eft., Typ 9JC
Device Watts Watts dB/MHz % °CIW Package/Style

Voo = 28 Volts, Class AB


MRF181 (46a) 4 0.15 14/1000 55 4.7 458/1
MRF182* 30 1.2 14/1000 55 1.5 360B/1
MRF182S* 30 1.2 14/1000 55 1.5 360C/1
MRF183* 45 1.8 14/1000 55 1.25 360B/1
MRF183S* 45 1.8 14/1000 55 1.25 360C/1
MRF184(46b) 60 1.9 15/1000 55 1.1 360B/1
MRF185(3,46b) 85 3.4 14/1000 55 0.7 375B/2

(3)lnternallmpedance Matched Push·Pull Transistors


(18)Tape and Reel Packaging Available by adding suffix: a) R1 =500 units; b) R2=2.500 units; c) T1 =3,000 units; d) T3=10,000 units; e) R2=1,500 units.
(46)To be introduced: a)lst half of 1996; b) 2nd hatl of 1996.
* New Product

Motorola Master Selection Guide 5.10-5 RF Products


RF Power Bipolar Transistors
Motorola's broad line of bipolar RF power transistors are characterized for operation in RF power amplifiers. Typical applications
are in military and commerciallandmobile, avionics and marine radio transmitters. Groupings are by frequency band and type of
application. Within each group, the arrangement of devices is by major supply voltage rating, then in the order of increasing output
power. All devices are NPN polarity except where otherwise noted.

HF Transistors
Table 1. 1.5 - 30 MHz, HF/SSB
Designed for broadband operation, these devices feature specified Interrnodulation Distortion at rated power output.
Applications include mobile, marine, fixed station, and amateur HF/SSB eqUipment, operating from 12.5, 13.6,28, or 50 volt
supplies.
Pout Pin (Max) GpE(Min)
Output Power Input Power Gain@30MHz BJC
Device Watts Watts dB 0C/W Package/Style
VCC = 12.5 or 13.6 Volts, Class AB
IMRF421 I l00PEP/CW 10 10 0.6 211-1111
VCC = 28 Volts, Class AB
MRF426 25 PEP/CW 0.16 22 2.5 211-07/1
MRF422 150PEP/CW 15 10 0.6 211-11/1
VCC = 50 Volts, Class AB
MRF429 150PEP/CW 7.5 13 0.8 211-11/1
MRF448 250PEP/CW 15.7 12 0.6 211-1111

Table 2. 14 - 30 MHz, CB/Amateur Band


These HF transistors are designed for economical, high-volume use in CW, AM and SSB applications.
VCC =12.5 or 13.6 Volts, Class AB
MRF455 60 3 13 1 211-07/1
MRF454 80 5 12 0.7 211-11/1

Table 3. 27 - 50 MHz, Low-Band FM Band


For use in the FM "Low-Band," for Mobile communications.

Pout Pin (Max) GpE(Min)


Output Power Input Power Gain@50MHz 6JC
Device Watts Watts dB 0c/w Package/Style
VCC =12.5 or 13.6 Volts, Class AB
IMRF492 I 70 5.6 11 0.7 211-11/1

VHF Transistors
Table 4. 30 - 200 MHz Band
Designed for Military Radio and Commercial Aircraft VHF bands, these 28-volt devices include the all-gold metallized
MRF314/16/17 high-reliability series.

Pout Pin (Max) GpE (Min)IFreq.


Output Power Input Power Power Gain BJC
Device Watts Watts dB/MHz °CIW Package/Style
VCC =28 Volts, Class AB
IMRF314
MRF316(2)
30
80
3
8
10/150
10/150
2.2
0.8
211-07/1
316-01/1
MRF317(2) 100 12.5 9/150 0.65 316-01/1
(2)lntemallmpedance Matched

RF Produc1s 5.10-6 Motorola Master Selec1ion Guide


VHF Transistors (continued)

Table 5. 136 -174 MHz High Band


The "workhorse" VHF FM High-Band is served by Motorola with the broadest range of devices and package combinations
in the industry.

Pout Pin (Max) GpE(Min)


Output Power Input Power Gain @ 175 MHz 8JC
Device Watts Watts dB °C/W Package/Style
VCC = 12.5 Volts, Class C
MRF4427(18b) 1 0.016 18(19) 125(1) 751/1
MRF553 1.5 0.11 11.5 25 317D/2
MRF2628 15 0.95 12 4 244/1
MRF1946 30 3 10 1.6 211-07/1
MRF1946A 30 3 10 1.8 145A-09/1
MRF224 40 14.3 4.5 2.2 211-07/1
MRF240 40 5 9 2.2 145A-09/1
MRF247 (2) 75 15 7 0.7 316-01/1

UHF Transistors
Table 6. 100 - 400 MHz Band
Stringent requirements of the UHF Military band are met by MRF325, 326, 327, 329 and 2N6439 types, with all-gold nietal
systems, specified ruggedness and programmed wirebond construction, to assure consistent input impedances for internally
matched parts.

Pout Pin (Max) GpE(Min)


Output Power Input Power Gain @ 400 MHz 8JC
Device Watts Watts dB °C/W Package/Style
VCC = 28 Volts, Class C
MRF325(2) 30 4.3 8.5 2.2 316-01/1
MRF326(2) 40 5 9 1.6 316-01/1
2N6439(2) 60 10 7.8 1.2 316-01/1
MRF327(2) 80 14.9 7.3 0.7 316-01/1
MRF329(2) 100 20 7 0.7 33311
MRF392(3) 125 19.8 8 0.7 744A11

Table 7. 400 - 500 MHz Band


Similar to the 100-400 MHz transistors, these devices have bandwidth capabilities operating up to 500 MHz. All have nitride
passivated die, gold metal systems, specified ruggedness and controlled wirebond construction to meet the stringent
requirements of military space applications.

Pout Pin (Max) GpE (MlnYFreq.


Output Power Input Power Power Gain 8JC
I Device Watts Watts dBIMHz °CIW Package/Style I
VCC = 28 Volts, Class C
MRF313 1 0.03 15/400 28.5 305Ail
MRF321 10 0.62 121400 6.4 244/1
MRF323 20 2 10/400 3.2 244/1
MRF393(3) 100 18 7.5/500 0.7 744A11
(1 )RSJA- Thermal Resistance Junction to Ambient.
(2)'ntemallmpedance Matched
(3)'ntemallmpedance Matched Push·Pull Transistors
(18)Tape and Reel Packaging Available by adding suffix: a) Rl =500 units; b) R2=2,500 un~s; c) Tl =3,000 units; d) T3= 10,000 units; e) R2= 1,500 units.
(19)Typical

Motorola Master Selection Guide 5.10-7 RF Products


UHF Transistors (continued)

Table 8. 470 - 512 MHz Band


Higher power output devices in this UHF power transistor series feature internally input-matched construction, are designed
for broadband operation, and have guaranteed ruggedness under output mismatch and RF overdrive conditions. Devices
are specified for handheld, mobile and base station operation.
Pout Pin (Max) GpE (Min)/Freq.
Output Power Input Power Power Gain 9JC
Device Watts Watts dB/MHz 0c/w Package/Style

VCC =12.5 Volts, Class C


MRF5Bl(4) 0.6 0.03 131500 40 317/2
MRF555 1.5 0.15 10/470 25 3170/2
MRF652 5 0.5 10/512 7 24411
MRF652S 5 0.5 10/512 7 249/1
MRF653 10 2 7/512 4 244/1
MRF653S 10 2 7/512 4 24911
MRF641 (2) 15 2.5 7.8/470 4 316-01/1
MRF654(2) 15 2.5 7.B/512 4 244/1
MRF644(2) 25 5.9 6.21470 1.7 316-01/1
MRF650(2) 50 15.B 5.0/512 1.3 316-01/1
MRF65B(2) 65 25 4.15/512 1 316-01/1

Pout Pin (Max) GpE (MinVFreq.


Output Power Input Power Power Gain 9JC
Device Watts Class Watts dB/MHz °C/W Package/Style

VCC = 24 Volts
TP5002S 1.5 A 0.075 131470 21 249/1
TP5015 15 AS 1.2 111470 7.0 319/2
TP5051 50 AS 6 9/470 1.2 333A12

900 MHz Transistors


Table 9. 870 - 960 MHz Band
Designed specifically for the 900 MHz mobile radio band, MRF840 through MRF847 devices offer superior gain and
ruggedness, using the unique C8-12 package, which minimizes common-element impedance, and thus maximizes gain
and stability. Devices are listed for mobile and base station applications.
Pout Pin (Max) GpE (MinVFreq.
Output Power Input Power Power Gain 9JC
Device Watts Watts dB/MHz °C/W Package/Style

VCC = 12.5 Volts - Class C - SI Bipolar


MRF559(5) 0.5 O.OB B/B70 50 317/2
MRF5Bl(5) 0.6 0.06 1O(19)/B70 40 317/2
MRFB37(5) 0.75 0.11 B/B70 40 317/1
MRFB372(5) (lBa,b) 0.75 0.11 8/B70 45 75111
MRF557(5) 1.5 0.23 8/B70 25 3170/2
MRFB39F(5) 3 0.46 8/870 9 319/2
MRFB40(2)(6) 10 2.5 61B70 3.1 319/1
MRFB42(2)(6) 20 5 61B70 1.5 319/1
MRFB44(2)(6) 30 9 5.2/B70 1.5 319/1
MRFB47(2)(6) 45 16 4.5/B70 1 319/1
(2)lnternallrnpedance Matched
(4)Small signal gain. Po is Typ.
(5)Common Emitter Configuration
(6)Common Base Configuration
(18)Tape and Reel Packaging Available by adding suffix: a) R1 = 500 units; b) R2= 2,500 units; c) T1 =3,000 units; d) T3= 10,000 units; e) R2= 1,500 units.
(19)Typical

RF Products 5.10-8 Motorola Master Selection Guide


900 MHz Transistors (continued)

Table 9. 870 - 960 MHz Band (continued)


Pout Pin(Max) Gp (Min)/Freq.
Output Power Input Power Power Gain 6JC
I Device
1 Watts Class Watts dB/MHz 'CIW PackagelStyle

Vee =24 Volts - Si Bipolar


MRF890 2 C 0.25 9/900 25 30511
TP3007S 2 AB 0.25 9/960 21 305C/l
MRF857 2.1 (CW) A 0.4 12.5/900 8.4 305/1
MRF857S 2.1 (CW) A 0.4 12.51900 8.4 305D/l
MRF896 3 AB 0.3 10/900 7 305/1
MRF858 3.6 (CW) A 0.29 11/900 6.9 319/2
MRF858S 3.6 (CW) A 0.29 11/900 6.9 319A12
TP3008 4 AB 0.28 11.5/960 5 319/2
MRF891 5 AB 0.63 9/900 7 319/2
MRF891S 5 AB 0.63 9/900 7 319A12
MRF859* 6.5W(CW) A 0.46 11.5/900 3.9 319/2
MRF859S* 6.5W(CW) A 0.46 11.5/900 3.9 319A12
TP3021 10 AB 1.0 10/960 5.0 319/2
MRF860 13.7 (CW) A 1.1 11/900 1.9 395B/l
MRF892(2) 14 C 2 8.5/900 3.5 319/1
MRF861 27 (CW) A 8 9.5/900 0.92 375A11
MRF894(2) 30 C 6 7/900 1.5 319/1
MRF897(3) 30 AB 3 10/900 1.7 395BI1
MRF897R(3)* 30 AB 3 10.5/900 1.7 395811
TP3034 35 AB 7 7/960 2.3 319/2
MRF862 36 (CW) A 4.5 9/900 0.75 375A11
MRF898(2) 60 C 12 7/900 1 333A11

Vee =26 Volts - Si Bipolar


MRF880(3) 90 AB 12.7 8.5/900 1.3 375A11
TP3069 100 AB 18 7.5/960 0.7 375A11
MRF899(3) 150 AB 24 8/900 0.8 375A11
(2)lntemallmpedance Matched
(3)lnternallmpedance Matched Push-Pull Transistors
* New Product

Motorola Master Selection Guide 5.10-9 RF Products


1.5 GHz Transistors

Table 10. 1400 -1640 MHz Band


Pout Pin(Max) Gp (Mln)lFreq.
Output Power Input Power Power Gain 9JC
Device Watts Class Watts dB/MHz °CIW Package/Style
MRF16006 6 C 1.09 7.4/1600 6.8 395C/2
MRF16030 30 C 5.33 7.5/1600 1.7 395C/2

Microwave Transistors
Table 11. L-Band Pulse Power
These products are designed to operate in short pulse width, 10 !ls, low duty cycle, 1%, power amplifiers operating in the
960-1215 MHz band. All devices have internal impedance matching. The prime application is avionics equipment for
distance measuring (DME), area navigation (TACAN) and interrogation (IFF).
Pout Pin(Max) Gp(Min)
Output Power Input Power Gain @ 1090 MHz 9JC
Device Watts Watts dB °CIW Package/Style
VCC =18 Volts - Class A & AB Common Emitter
MRF1000MA 0.2 0.02 10 25 332-04/2
MRF1000MB 0.2 0.02 10 25 332A12
VCC =35 Volts - Class B & C Common Base
IMRF1004MA I 4 0.4 10 25 332-0411
VCC =50 Volts - Class C Common Base
MRF1090MA 90 9 10 0.6 332-04/1
MRF1150MA 150 25 7.8 0.3 332-04/1
MRF1375 375 80 6.7 0.12 355G/1

Table 12. L-Band Long Pulse Power


These products are designed for pulse power amplifier applications in the 960-1215 MHz frequency range. They are
capable of handling up to 10!lS pulses in long pulse trains resulting in up to a 50% duty cycle over a 3.5 millisecond interval.
Overall duty cycle is limited to 25% maximum. The primary applications for devices of this type are military systems,
specifically JTIDS and commercial systems, specifically Mode S. Package types are hermetic.
Pout Pln(Max) GpB(Min)
Output Power Input Power Gain @ 1215 MHz 9JC
Device Watts Watts dB °CIW Package/Style
VCC =28 Volts - Class C Common Base
IMRF10005 I 5 I 0.71 8.5 8 336E/1
VCC =36 Volts - Class C Common Base
MRF10031 30 3 10 3 376B/1
MRF10120 120 19 8 0.6 355C/1

RF Products 5.10-10 Motorola Master Selection Guide


Microwave Transistors (continued)

Table 12. L-Band Long Pulse Power, Class C Common Base (continued)
Pout Pin(Max) GpB(Min)
Output Power Input Power Gain @ 1215 MHz 8JC
Device Watts Watts dB 0c/w Package/Style
VCC =50 Volts
MRF10070 70 7 10(7) 0.4 376G/l
MRF10150 150 15 10(7) 0.25 376B/l
MRF10350 350 44 9(7) 0.11 355E/l
MRF10500 500 63 9(7) 0.12 3550/1
MRF10501 500 63 9(7) 0.12 355H/1

Table 13. 2 GHz Narrowband CW


The MRW2000 Series of NPN Silicon microwave power transistors are designed for common base service in amplifier or
oscillator applications in the 1-2.3 GHz frequency range.
Pout Pin(Max) GpB(Min)
Output Power Input Power Gain @2GHz 8JC
Device Watts Watts dB 0c/w Package/Style
VCC = 28 Volts - Class B & C Common Base
MRW2001 0.13 9 35 328A11
MRW2005 5 0.8 8 8.5 328A11

Table 14. 3 GHz Narrowband CW, Class B & C Common Base


The MRW3000 Series are the industry's first 100% VSWR tolerant 3 GHz devices. They are common-base configured in
hermetic packages and rated for 28 volt operation.
Pout Pin(Max) GpB(Min)
Output Power Input Power Gain @ 3.0 GHz 9JC
Device Watts Watts dB °CIW Package/Style
VCC = 28 Volts
MRW3001 0.2 7 35 328A11
MRW3003 3 0.75 6 17 328A11
MRW3005 5 1.6 5 8.5 32BAl1
(7)Typical @ 1090 MHz

Motorola Master Selection Guide 5.10-11 RF Products


Linear Transistors
The following sections describe a wide variety of devices specifically characterized for linear amplification. Included are medium
power and high power parts covering frequencies from 100 MHz-4 GHz.
Table 15. To 1 GHz, Class A
These devices offer a selection of performance and price for linear amplification to 1 GHz. The "MRA" prefix parts are input
matched and feature high overdrive and extreme ruggedness capability.
Po @ 1 dB GSS (MinVFreq. Bias
Comp. Point Small Signal Gain Point
Device Watts dB/MHz (VdcJA) Package/Style
Vee =19 Volts
MRA100G-7L 7 9/1000 19/1.2 4 145J)-{)211
MRA100G-14L 14 811000 19/2.4 2.1 145J)-{)211

Vec =25 Volts


MRF1029(9) 1.5 8/1000 25/0.2 12 244/1
MRF1032(9) 6 6.5/1000 2510.85 3.5 24411

Table 16. To 2 GHz, Class A


These parts offer low cost alternatives to matched devices used primarily as pre-drivers to 2 GHz.
Po @ 1 dB GSS (MinVFreq. Bias
Comp. Point Small Signal Gain Point
Device Watts dBIMHz (VdcJA) Package/Style
Vec =20 Volts
MRF3094(9) 0.5 10.5/2000 20/0.12 40 328A12
MRF31 04(9) 0.5 10.5/2000 20/0.12 40 305A11
MRF3095(9) 0.8 9/2000 20/0.12 35 328A12
MRF31 05(9) 0.8 9/2000 20/0.12 35 305A11
MRF3096(9) 1.6 9/2000 20/0.24 22 328A12
MRF31 06(9) 1.6 9/2000 20/0.24 22 305A11
MRF200G-5L(10) 5 7/2000 19/0.6 10 360Al2

Table 17. UHF Ultra Linear For TV Applications


The following devices have been characterized for ultra-linear applications such as low-power TV transmitters in Band IV
and Band V. Each features diffused ballast resistors and an all-gold metal system to provide enhanced reliability and
ruggedness.
Gp (Min)/Freq. 3 Tone
Pref{Min) IMO(8)
Small Signal Gain
Watts
Device dB/MHz dB Package/Style
Vcc =20 Volts, Class A
TPV596A 0.5 11.5/860 -58 20 24411
TPV597 1 10.5/860 -58 9 24411
TPV598 4 7/860 -60 5 24411
Vcc =25 Volts, Class A
TPV695A 14 9.5/860 -47 2.5 395B/1
TPV7025 25 8.5/860 -45 1.5 39811
TPV6030 20/35(11) 9.5/860 -51/- 1.1 375A11
Vec = 26 Volts, Class AB
IMRF6414* I 8.5/960 1.3 333A12
Vce =28 Volts, Class AB
ITPV8100B I 100(11) 8.5/860 0.7 398/1
(B)Vision Carrier: - B dB; Sound Carrier. - 7 dB; Sideband Carrier: - 16 dB
(9)Forrner Prefix was "RF"
(1 O)Former prefix was "MRA."
(11)Output power at 1 dB compression in Class AB
* New Product

RF Products 5.1G-12 Motorola Master Selection Guide


Linear Transistors (continued)

Table 18. Microwave Linear For peN Applications


The following devices have been developed for linear amplifiers in the 1.5-2 GHz region and have characteristics
particularly suitable for peN base station applications.
Bias
Pout Point Gain (Typ)/Freq 8JC
Device Watts Class Vdc/mA dB/MHz °C/W Package/Style
MRF6401 (12) 0.5 A 20/80 10/1880 30 305C/1
MRF6402(13) 4.5 AS 26/40 10/1880 5 319/2
MRF6404(16) 30 AS 261150 8.5/1880 1.4 395C/1
MRF6408* 12 AS 26/100 8.8/1880 2.8 395C/1
MRF15030 30 A,AS 26/125 911490 1.4 395C/1
MRF15060(46b) 60 A,AS 26/200 10/1490 0.7 451/451A11
MRF15090 90 A,AS 26/250 7.5/1490 0.7 375A11
MRF20060(46b) 60 A,AS 26/200 912000 0.7 451/1

Table 19. Microwave Linear Power


Common emitter microwave devices are offered for a wide variety of uses in small and medium signal, Class A, AS and C
applications up to 4 GHz. The use of ali-gold metal systems, diffused ballast resistors and hermetic packaging results in
devices that display excellent reliability even in a military environment.
GSS (Min) @ Freq. 1 dB -30 dB Emitter
Small Signal Gain Compo Psat IMD Current
Device dB/GHz Watts Watts Watts rnA Package/Style

Voo =20 Volts


MRW53502 5/3 1.6 2 1.5 230 401/1
MRW53601 6/3 0.8 1 0.8 120 328A11
MRW54001 5/4 0.5 0.8 0.5 120 400/1
MRW54601 6/4 0.5 0.8 0.5 120 328A11
(12)Formerly known as "TP4001 S"
(13)Formerly known as "TP4004"
(16)Formerly known as "TP4035"
(46)To be introduced: a)1 st half of 1996; b) 2nd half of 1996.
* New Product

Motorola Master Selection Guide 5.10-13 RF Products


RF Small Signal

,CASE 29-04
STYLE 2
CASE244A
STYLE 1
Transistors
Motorola's broad line of RF Small Signal Transistors includes
NPN and PNP Silicon Bipolar Transistors characterized for
low noise amplifiers, mixers, oscillators, multipliers,
non-saturated switches and low-power drivers.
These devices are available in a wide variety of package
(TO-226M) types: plastic Macro-X and Macro-T, ceramic and surface
mounted. Most of these transistors are fully characterized with
s-parameters.

CASE 317 CASE 317A


STYLE 2 STYLE 2
(MACRO-X) (MACRO-T)

CASE 317D CASE 318-08


STYLE 2 STYLE 6
(POWER MACRO) (SOT-23)

CASE 318A
STYLE 1
LOW PROFILE
(SOT-143)
•CASE 419
STYLE 3, 6
(SC-70/S0T-323)

CASE 751
STYLE 1
(S0-8)

RF Products 5.10-14 Motorola Master Selection Guide


RF Small Signal Transistors

RF Small Signal Transistor


Gain Characteristics

N
Curve numbers apply to transistors ~ 6 t---t---ct-t-ft---cH'--t---c+--t---\---j--;+--j
listed in the subsequent tables. b
is
Selection by Package ~
c..

5
In small-signal RF applications. the package style is often ~
determined by the end application or circuit construction ~ 4r-~r-7t1-~+--r-~~+--~-1--+-~
technique. To aid the circuit designer in device selection. the z
Motorola broad range of RF small-signal amplifier transistors ~
is organized by package. Devices for other applications such -= 3r-+-HI++r-h'-I---;,;;t...,c....+--~-1--+-~
as oscillators or switches are shown in the appropriate
preceding tables. These devices are NPN polarity unless
otherwise designated.

o~_~~_~~_~~_~_~_~~_~

0.1 2.5 5 10 15 20 30 50 75 100 150

IC. COLLECTOR CURRENT (rnA)

Plastic SOE Case


Table 1. Plastic SOE Case
Gain-Bandwidth Maximum Ratings
@ NFmin @ f Gain @ f
Curve
tr No.

Device
Typ
GHz I IC
mA
Page
5.1.0-15
Typ
dB I MHz
Typ
dB
I MHz
V(BR)CEO
Volts
IC
mA Package
Case 29-0411,2, T0--226AA
LP1001 5 10 - 2.7 500 12.5 1000 15 -
- -

I
LP1001A 5 10 3.2 1000 12.5 1000 15
MPS901(29) 4.5 15 7 2.4 900 12 900 15 30
MPS911(29) 7 30 8 1.7 500 16.5 500 12 40
MPS571 8 50 12 2 500 14 500 10 80
MPS3866 0.8 50 1 - - 10 400 30 400
(29)Packaging Options Available in Tape and Reel and Fan Fold Box

Motorola Master Selection Guide 5.10-15 RF Products


Selection by Package (continued)
Table 1. Plastic SOE Case (continued)
Gain-Bandwidth Maximum Ratings
@
Curve NFmin @ f Gain @ f
". No.

Device
Case 317/2 - MACRo-X
Typ
GHz I IC
mA
Page
5.10-15
TyP
dB
I MHz TyP
dB I MHz
V(BRlCEO
Vots
IC
mA Package

MRF901 4.5 15 7 2 1000 12 1000 15 30


MRF941 8 15 15 2.1 2000 12.5 2000 10 50
MRF571 8 50 12 1.5 1000 12 1000 10 70

X
MRF951 8 30 - 2.1 2000 12.5 2000 10 100
MRF559 3 100 10 - - 13 512 18 150
MRF581 5 75 11 2 500 15.5 500 18 200
MRF581A 5 75 11 1.8 500 15.5 500 15 200
MRF837 5 75 11 - - 10 870 16 200
Case 317A12 - MACRO-T
BFR90
BFR96

Case 317D/2
MRF553 - - - - - 13 175 16 500
MRF555
MRF557
-
-
-
-
-
-
-
-
-
-
12.5
9
470
870
16
16
400
400
~
Case 318-0816 - SOT-23
MMBR521 LT1(17)(18c) 3.4 -35 - 1.5 500 15 500 -10 -70
MMBR931 LT1 (18c) 3 1 6 4.3 1000 10 1000 5 5
MMBR5031 LT1 (18c) 1 5 - 2.5 450 17 450 10 20
BFS17LT1(18c) 1.3 25 - - - - - 15 -
BFR92ALT1(18c) 4.5 14 - - - 15 - 15 25
MMBR901 LT1 (18c) 4 15 7 1.9 1000 12 1000 15 30
-
~
BFR93ALT1(18c) 3.4 30 2.5 30 - - 12 35
MMBR920LT1 (18c) 4.5 14 - 2.4 500 15 500 15 35
MMBR5179LT1(18c) 1.4 5 4 - - 15 200 12 50
MMBR941 LT1 (18c,d) 8 15 15 2.1 2000 8.5 2000 10 50
MMBR941 BLT1(18c,d) 8 15 15 2.1 2000 8.5 2000 10 50
MMBR911 LT1 (18c) 6 30 8 2 500 17 500 12 60
MMBR571 LT1 (18c) 8 50 12 2 500 16.5 500 10 80
MMBR951 LT1 (18c) 8 30 - 2.1 2000 7.5 2000 10 100
MMBR951ALT1(18c) 8 30 - 2.1 2000 7.5 2000 10 100
(17)PNP
(18)Tapeand Reel Packaging Available by adding suffix: a) R1 ~500 unils; b) R2~2.500 units; c) T1 ~3,OOO units; d) T3~10,OOO units; e) R2~1,500 units.

RF Products 5.10-16 Motorola Master Selection Guide


Selection by Package (continued)
Table 1. Plastic SOE Case (continued)
Gain-Bandwidth Maximum Ratings
@ Curve NFmin @ f Gain @ f
for No.

Device
Typ
GHz I
IC
mA
Page
5.10-15
Typ
dB
I MHz
Typ
dB
I MHz
V(BR)CEO
Volts
IC
mA Package
Case 318A11 - SOT-143
MRF5711 LT1(18c) 8 50 12 1.6 1000 13.5 1000 10 70
MRF5211LT1(17)(18c) 4.2 -50 - 2.8 1000 11 1000 -10 -70
MRF9331 LT1 (18c) 5 1 - 2.5 1000 12.5 1000 8 2


MRF9011LT1(18c) 3.8 15 7 2.3 1000 10.2 1000 15 30
MRF9411LT1(18c) 8 15 15 2.1 2000 9.5 2000 10 50
MRF9411 BLT1 (18c) 8 15 15 2.1 2000 9.5 2000 10 50
MRF0211LT1(18c) 5.5 40 12 1.8 1000 9.5 1000 15 70
MRF5811LT1 (18c)* 5 75 11 2.0 500 18.4 500 18 200
MRF9511 LT1 (18c) 8 30 - 2.1 2000 9 2000 10 100
MRF9511ALT1(18c) 8 30 - 2.1 2000 9 2000 10 100
Case 41913 - SC-70/S0T-323
MRF927T1(18c)* 8 5 14 1.7 1000 9.8 1000 10 10


MRF947T1 (18c,d) 8 15 15 2.1 2000 10.5 1500 10 50
MRF947AT1(18c) 8 15 15 2.1 2000 10.5 1500 10 50
MRF947BT1(18c,d) 8 15 15 2.1 2000 10.5 1500 10 50
MRF957T1 (18c) 8 30 - 2.0 2000 9 1500 10 100
Case 419/6 - SC-70/S0T-323


MRF947RT3(18d) 8 15 - 2.1 2000 10.5 1500 10 50

Case 75111 - S0-8


MRF5943(18a,b) 1.5 35 2 3.4 200 12 250 30 400
MRF3866R2(18b) 0.8 50 1 - - 10.5 400 30 400
MRF4427(18b)
MRF5812(18a,b)
1.6
5.5
50
75 11
1 -
2 500
- 18
15.5
175
500
20
15
400
200
~
MRF8372(18a,b) 5 75 11 - - 10 870 16 200

Ceramic SOE Case


Table 2. Ceramic SOE Case
Gain-Bandwidth Maximum Ratings
@ Curve N@ f Gain @ f
for No.

Device
Case 244A11
Typ
GHz I IC
mA
Page
5.10-15
Typ
dB
I MHz
Typ
dB I MHz
V(BR)CEO
Volts
IC
mA Package

IMR~'
I~I~I
15

(18)Tape and Reel Packaging Available by adding suffix: a) R1 =500 units; b) R2=2,500 units; c) T1 =3,000 units; d) T3=10,OOO units; e) R2=1,500 units.
* New Product

Motorola Master Selection Guide 5.10-17 RF Products


Selection by Application
Table 3. Low Noise
The Small-Signal devices listed are designed for low noise and high gain amplifier mixer, and multiplier applications. Each
transistor type is available in various packages. Polarity is NPN unless otherwise noted.
Case Curve Number (See figure below)
Package Name Number 1 2<17) 3 4 5 6

X MACRO-X 317/2
MRF941
MRF951 (20)
- MRF571 MRF581 MRF901 -

~ To-226AA 29-04/2 - - MPS571 - MPS901 MPS911

~
MMBR941LT1
SOT-23 318-08/6 MMBR941BLT1 MMBR521LT1 MMBR571LT1 - MMBR901LT1 MMBR911LTI
MMBR951 LT1 (20)

MRF927T1


MRF947AT1
SC-70/ MRF947T1
SOT-323
419/3,6
MRF947BT1
- - - - -
MRF947RT3
MRF957T1 (20)


MRF9411BLT1
MRF9411LT1 MRF5711LT1
SOT-143 318A11
MRF9511LT1(20)
MRF5211LT1
MRF0211LT1
MRF5811LT1 MRF9011LT1 -
MRF9511ALT1

~ So-a 751/1 - - - MRF5812 - -

(17)PNP
(20)Higher Current Version

46253 1
24

iD 20
:s
2
:;;: 16
=~
c:l
0 ~
w
'< 12
~ ."- 6iD
&. i'.
~..,.
2,4 5:S
w
en 8 I~ ~ \.. 4~
....2 6/ ~3 1 3U::
c:l

c:l 5 V i""" w
4 2!G
~ ~
1u:
0 0 2
0.1 0.2 0.3 0.5 2 3 10
t, FREQUENCY (GHz)
Gain and Noise Figure versus Frequency

RF Products 5.10-18 Motorola Master Selection Guide


Selection by Application (continued)

Table 4. CATV, MATV and Class A Linear


For Class A linear CATV/MATV applications. Listed according to increasing gain bandwidth (IT).
Noise Figure Distortion Specifications

Nominal Test 2nd 3rd 12 Ch.


Conditions fT Order Order Cross- Output
VCEIIC Typ Typ/Freq. IMD IMD Mod. Level V(BR)CEO Package!
Device Volts/rnA MHz dB/MHz dBc dBc dBc dBrnV V Style
MMBR5179LT1(18c) 6/5 1500 4/450 12 318-08/6
MRF5943(18a,b) 15/50 1500 3.4/200 30 751/1
MMBR5031 LT1 (18c,d) 6/5 2000 1.9/450 10 318-{)8/6
MMBR920LT1 (18c,d) 10/14 4500 2.4/500 15 318-08/6
BFR96 10/50 4500 2/500 15 317N2
BFR90 10/14 5000 2.4/500 15 317N2
MRF581 10175 5000 2.7/300 -65 +50 18 317/2
MRF581A 10/75 5000 1.8/500 -65 +50 15 317/2
MRF5812(18a,b) 10/75 5000 1.8/500 -65 +50 15 751/1
LP1001 5000 2.7/500 15 29-{)4/2
LP1001A 5000 3.211000 15 29-{)4/2
MRF587 15/90 5500 3/500 -52 -72 +50 17 244N1
(17)PNP
(18)Tape and Reel Packaging Available by adding suffix: a) RI =500 units; b) R2 = 2,500 units; c) TI =3,000 unns; d) T3=10,OOO units; e) R2= I ,500 units.

Motorola Master Selection Guide 5.10-19 RF Products


Monolithic
Integrated Circuits
Motorola's RF monolithic integrated circuit devices provide an
integrated solution for the personal communications market.
CASE 318A-Q5 CASE 751 These devices are available in plastic SOIG-8, SOIC-16,
(SOT-143) (SQ-8)
SOT-143, TSSOP-16, TSSOP-20 or PFP-16 packages.
Evaluation Boards
Evaluation boards are available for RF Monolithic Integrated
Circuits by adding a ''TP' suffix to the device type. For a
complete list of currently available boards and ones in
development for newly introduced poduct, please contact
your local Motorola Distributor or Sales Office.
CASE 751B CASE 948C
(S0-16) (TSSOP-16)

CASE948D CASE 978


(TSSOP-20) (PFP-16)

RF Products 5.10-20 Motorola Master Selection Guide


RF Monolithic Integrated Circuits
Switching
Antenna Switches
Supply TX
Freq. Volt. Supply Pin, 1 dB Insertion
Range Range Current Compression Loss Isolation System
Device MHz Vdc I1A (Typ) dBm(Typ) dB (Typ) dB (Typ) Package Applicability
MRFIC2003(18b) 100-1000 2.8-6.0 <10 21 0.5 20 So-a CT2,ISM
MRFIC1801(18b) 1500-2500 2.7-5.5 300 29 0.6 20 So-a DECT, PHS,
PCS,ISM
MRFIC0903(18b)* 100-2000 2.7-5.0 60 35.5 0.65 21 So-a AMPS, Class 4&5 GSM,
DCS1800, PHS, PCS

Receiver Functions
General Purpose Integrated Circuits
General Purpose Cascode Amplifier
Small
Signal
Supply Gain
Freq. Volt. Supply @900 Noise Reverse
Range Range Current MHz Figure Isolation System
Device MHz Vdc mA(Typ) dB (Typ) dB (Typ) dB (Typ) Package Applicability
MRFIC0915(18c)* 100-2000 2.7-5.0 2.2 16.5 1.9 44 SOT-143 AMPS,CT1,CT2,GSM,Is-54,
ISM, DECT, PHS, PCS
MRFIC0916(18c)* 100-2000 2.7-5.0 4.7 18.5 1.9 44 SOT-143 AMPS,CT1,CT2,GSM,Is-54,
ISM, DECT, PHS, PeS

900 MHz Front End


LNA+ Mixer
RF Freq. IF Freq. Supply Volt. Supply Conv. Output Level,
Range Range Range Current Gain 1 dB Camp. System
Device MHz MHz Vdc mA(Typ) dB (Typ) dBm(Typ) Package Applicability
MRFIC2001 (18b) 500-1000 0-250 2.7-5.0 4.7 23 -10 So-a CT2,ISM

1.5 - 2.2 GHz Front End


Integrated LNA
Freq. Supply Volt. Supply Small Signal Noise Reverse
Range Range Current Gain Figure Isolation System
Device MHz Vdc mA(Typ) dB (Typ) dB (Typ) dB (Typ) Package Applicability
MRFIC1501(18b)* 1000-2000 3-5 5.7 18 1.1 26 So-a DECT, PHS, PCS
MRFICl808(18b)* 1700-2100 2.7-4.5 4.2 17 1.6 37 so-a DECT, PHS, PCS
(lB)Tape and Reel Packaging Available by adding suffix: a) Rl =500 units; b) R2 =2,500 unns; c) Tl =3,000 units; d) T3=10,OOO units; e) R2= 1 ,500 unns.
* New Product

Motorola Master Selection Guide 5.10-21 RF Products


Receiver Functions: 1.5 - 2.2 GHz Front End (continued)

Integrated LNAlDownconverter
RF IF Supply Supply Mixer LNA
Freq. Freq. Volt. Current Conv. LNA Noise
Range Range Range RXMode Gain Gain Figure System
Device GHz GHz Vdc mA(Typ) dB (Typ) dB (Typ) dB (Typ) Package Applicability
MRFIC1804(18b) 1.8-1.925 70-325 2.7-3.3 10 4 14 2.3 So-16 DECT,PHS,PCS
MRFIC1814(18b,46a) 1.8-2.0 70-300 2.7-4.5 10 9 17 2.5 TSSOP-16 DECT,PHS,PCS

2.4 GHz Front End


Integrated LNAIDownconverter
Supply LNA Isolation
RF Freq. IF Freq. Volt. Supply Conv. Noise LotoRF,
Range Range Range Current Gain Figure Loto IF System
Device MHz MHz Vdc mA(Typ) dB (Typ) dB (Typ) dB (Typ) Package Applicability
MRFIC2401 (18b) 2400-2500 100-350 4.75-5.25 9.5 21 1.9 20 So-16 WLAN,
MMDS,ISM

Transmitter Functions
General Purpose Integrated Circuits
Quadrature Modulator
Supply SSB Pout,
Freq. Volt. Supply Gain Lo 1 dB
Range Range Current Control Leakage Compression System
Device MHz Vdc mA(Typ) dB(Typ) dBm (Typ) dBm(Typ) Package Applicability
MRFICOOOl (18b) 50-260 2.7-5.5 10 30 -55 -10 TSSOP-20 DCS1800, GSM, NADC
PDC,PHS

General Purpose Cascode Amplifier


Small
Signal
Supply Gain
Freq. Volt. Supply @900 Noise Reverse
Range Range Current MHz Figure Isolation System
Device MHz Vdc mA(Typ) dB (Typ) dB (Typ) dB (Typ) Package Applicability
MRFIC0915(18c)* 100-2000 2.7-5.0 2.2 16.5 1.9 44 SOT-143 AMPS,CT1,CT2,GSM,I5-54,
ISM, DECT, PHS, PCS
MRFIC0916(18c)* 100-2000 2.7-5.0 4.7 18.5 1.9 44 SOT-143 AMPS,CT1,CT2, GSM,I5-54,
ISM, DECT, PHS, PCS
(18)Tape and Reel Packaging Available by adding suffix: a) R1 =500 units; b) R2=2,5oo untts; c) T1 =3,000 units; d) T3=10,OOO units; e) R2=1,500 units.
(46)To be introduced: a)1st half of 1996; b) 2nd haH of 1996.
* New Product

RF Products 5.10-22 Motorola Master Selection Guide


Transmitter Functions (continued)

900 MHz Transmit Chain


Transmit Mixer
RF IF Supply Output
Freq. Freq. Volt. Supply Standby Conv. Level,
Range Range Range Current Current Gain 1 dBComp. System
Device MHz MHz Vdc mA(Typ) !lA(Typ) dB (Typ) dBm (Typ) Package Applicability
MRFIC2002(18b) 500-1000 0-250 2.7-5.0 5.5 0.1 10 -18 SO-8 AMPS,CT1 ,CT2,
GSM, IS-54, ISM
MRFIC21 01 (18b) 800-1000 0-250 3-4.75 45 2 26.5 4.5 S0-16 AMPS,CT1,CT2,
GSM, IS-54, ISM

Driver and Amplifier


Supply Supply Small
Freq. Volt. Current Standby Signal Gain Pout, 1 dB
Range Range mA Current Gain Control Compression System
Device MHz Vdc (Typ) mA(Typ) dB (Typ) dB (Typ) dBm (Typ) Package Applicability
MRFIC2004(18b) 800-1000 2.7-4.0 11 0.7 21.5 34 -1 S0-16 AMPS,CT1,CT2,
GSM,ISM
MRFIC0904(18b) * 800-1000 2.7-5.0(47) 280 0.05 27 24.5 25.5 SO-16 AMPS,GSM,ISM

Integrated Power Amplifiers


Low Power gOO MHz Power Amplifiers
Freq. Supply Volt. Supply Small Signal Return Loss Pout, 1 dB
Range Range Current Gain Input/Output Compression Semiconductor
Device MHz Vdc mA(Typ) dB (Typ) dB (Typ) dBm (Typ) Package Technology
MRFIC2006(18b) 500-1000 1.8-4.0 46 23 15 15.5 S0-8 Silicon

Freq. Supply Volt. Supply Standby Small Signal Pout, 1 dB


Range Range Current Current Gain Compression Semiconductor
Device MHz Vdc mA(Typ) mA(Typ) dB (Typ) dBm(Typ) Package Technology
MRFIC21 01 (18b) 800-1000 3-4.75 38 2 16 18 SO-16 Silicon

Analog Cellular
Power
Freq. Added Power Harmonic
Range Supply Volt. Efficiency Gain Output 2fo Pout!Pin Semiconductor
Device MHz Vdc % (Min) dB (Min) dBc dBm(Min) Package Technology
MRFIC0910(18e,46a) 824-905 4.8 50 17.8 -40 30.8/13 PFP-16 LOMaS
MRFIC0911 (18e,46a) 824-905 6.8 50 18.5 -35 31.5/13 PFP-16 LOMaS
MRFIC0912(18e,46a) 824-905 4.6(47) 55 21.8 -20 30.8/9 PFP-16 GaAs
(18)Tape and Reel Packaging Available by adding suffix: a) R1 = 500 units; b) R2 =2.500 units; c) T1 = 3,000 units; d) T3= 10,000 units; e) R2 = 1 ,500 units.
(46)To be introduced: a)1st half of 1996; b) 2nd half of 1996.
(47) Negative supply required
* New Product

Motorola Master Selection Guide 5.10-23 RF Products


Transmitter Functions: 900 MHz Transmit Chain: Integrated Power Amplifiers (continued)

GSM Cellular
Power
Freq. Added Power Harmonic
Range Supply Volt. Efficiency Gain Output 2fo Pout/Pin Semiconductor
Device MHz Vdc % (Min) dB (Min) dBc dBm(Min) Package Technology
MRFIC0913(18e,46a) 880-915 4.8(47) 50 24.5 -30 34.5/10 PFP-16 GaAs
MRFIC0917(18e,46a) 880-915 3.6(47) 50 24.5 -30 34.5/10 PFP-16 GaAs

DCS1800, PCS1900
Power
Freq. Added Power Harmonic
Range Supply Volt. Efficiency Gain Output 2fo Pout/Pin Semiconductor
Device MHz Vdc % (Min) dB (Min) dBc dBm(Min) Package Technology
MRFIC1816(18e,46a) 1.5-1.9 5.8(47) 50 16.5 -30 31.5/15 PFP-16 GaAs
MRFIC1818(18e,46a) 1.7-1.9 4.8(47) 35 30 -30 33/3 PFP-16 GaAs

Two-way Paging, ISM


Power
Freq. Added Power Harmonic
Range Supply Volt. Efficiency Gain Output 2fo Pout/Pin Semiconductor
Device MHz Vdc % (Min) dB (Min) dBc dBm(Typ) Package Technology

MRFIC0914(18b)* 890-950 4.8 40 28 -45 30.5/2.5 S0-16 LOMOS

1.5 - 2.2 GHz Transmit Chain


UpMixer, Exciter and LO Amp
RFOulput Supply Supply
Freq. Volt. Current Standby Conv. Recommended Pout, 1 dB
Range Range TXMode Current Gain IF Input Compo System
Device GHz Vdc mA(Typ) ~A(Typ) dB (Typ) MHz (Typ) dBm(Typ) Package Applicability
MRFIC1803(18b) 1.7-2.5 2.7-3.3 28 100 10 70-350 -2 S0-16 OECT,PHS,
PCS
MRFIC1813(18b,46a) 1.7-2.5 2.7-4.5 24 25 15 70-350 2 TSSOP-16 OECT,PHS,
PCS

PA Driver and RAMP


RF Output Supply
Freq. Volt. Supply Standby Small 1 dB
Range Ran~e Current Current Signal Gain Pout/Pin Compo System
Device GHz Vdc( 7) mA(Typ) mA(Typ) dB (Typ) dBm(Typ) dBm(Typ) Pkg Applicability
MRFIC1806(18b) 1.5-2.5 3.0-5.0 115 0.25 23 19.5/-3 +21 S0-1 OECT,PHS,
6 PCS
(18)Tape and Reel Packaging Available by adding suffix: a) R1 =500 units; b) R2=2,500 unns; c) T1 =3,000 units; d) T3=10,000 unns; e) R2= 1,500 unns.
(46lTo be introduced: a)1 st haH of 1996; b) 2nd haH of 1996.
(47) Negative supply required
* New Product

RF Products 5.10-24 Motorola Master Selection Guide


Transmitter Functions: 1.5 - 2.2 GHz Transmit Chain (continued)

Power Amplifier and TXlTR Switch


RF Output Supply PASupply Standby Small Insertion
Freq. Volt. Current Current Signal Loss Pout, 1 dB
Range Range TX Mode rnA Gain RxMode Compression System
Device GHz Vdc rnA (Typ) (Typ) dB (Typ) dB (Typ) dBm (Typ) Package Applicability
MRFICI807(18b) 1.5-2.2 3.0-5.0 325 0.06 8 1 25 SO-16 DECT, PHS,
PCS

UpMixer, Exciter and LO Amp


RF Output Supply Supply
Freq. Volt. Current Standby Conv. Recommended Pout, 1 dB
Range Range TX Mode Current Gain IF Input Compo System
Device GHz Vdc mA(Typ) !lA(Typ) dB (Typ) MHz (Typ) dBm(Typ) Package Applicability
MRFICI803(18b) 1.7-2.5 2.7-3.3 28 100 10 70-350 -2 SO-16 DECT,PHS,
PCS
MRFICI813(18b,46a) 1.7-2.5 2.7-4.5 24 25 15 70-350 2 TSSOP-16 DECT,PHS,
PCS

2.4 GHz Transmit Chain


Exciter Amplifier
Freq. Supply Volt. Supply Small Signal Noise Pout, 1 dB
Range Range Current Gain Figure Compression System
Device GHz Vdc rnA (Typ) dB (Typ) dB (Typ) dBm (Typ) Package Applicability
MRFIC2404(18b) 2.0-3.0 4.75-5.25 9 17 4.3 5 SO-8 WLAN,
MMDS, ISM

Power Amplifier
Freq. Supply Volt. Supply Small Signal Power Control Pout, 1 dB
Range Range Current Gain Range Compression System
Device MHz Vdc rnA (Typ) dB (Typ) dB (Typ) dBm(Typ) Package Applicability
MRFIC2403(18b) 2200-2700 4.75-5.25 95 23 20 19 SO-16 WLAN,
MMDS, ISM

UpMixer, Exciter and LO Amp


RF Output Supply Supply
Freq. Volt. Current Standby Conv. Recommended Pout, 1 dB
Range Range TXMode Current Gain IF Input Compo System
Device GHz Vdc mA(Typ) !LA (Typ) dB (Typ) MHz (Typ) dBm (Typ) Package Applicability
MRFICI803(18b) 1.7-2.5 2.7-3.3 28 100 10 70-350 -2 SO-16 DECT,PHS,
PCS
MRFICI813(18b,46a) 1.7-2.5 2.7-4.5 24 25 15 70-350 2 TSSOP-16 DECT,PHS,
PCS
(1 B)Tape and Reel Packaging Available by adding suffix: a) Rl = 500 units; b) R2 =2,500 units; c) T1 = 3,000 units; d) T3 = 10,000 units; e) R2 = 1,500 units.
(46)To be introduced: a)lst half of 1996; b) 2nd half of 1996.

Motorola Master Selection Guide 5.10-25 RF Products


RF Amplifiers
Motorola's line of RF amplifiers designed and specified for use in land mobile radios, CATV distribution systems and general
purpose wideband amplification applications. They feature small size, matched inputs and outputs, high stability and guaranteed
performance specifications. For the user, they offer the benefits of smaller and less complex system designs in less time and at
lower overall cost.
Each amplifier uses modern transistor chips which are gold metallized and have silicon nitride passivation for increased reliability
and long life. Chip and wire construction features MOS capacitors and laser trimmed nichrome resistors. Circuit substrates and
metallization have been selected for optimum performance cost and reliablity.

CASE301AA
STYLE 1
CASE301AB
STYLE 1
, CASE301E
STYLE 1
CASE301F
STYLE 1

, CASE301H
STYLE 2
~ CASE301J
STYLE 1
CASE301K
STYLE 3
, CASE301N
STYLE 1

, CASE301R
STYLE 1
, CASE30H
STYLE 1
, CASE301V
STYLE 1
, CASE301Y
STYLE 1

CASE 420 CASE 420A CASE 420L CASE 420U


STYLE 1 STYLE 1 STYLE 1 STYLE 1

CASE 429A CASE 429C CASE 429E


STYLE 1 STYLE 1 STYLE 1

RF Products 5.10-26 Motorola Master Selection Guide


CASE 431A CASE 439
STYLE 1 STYLE 1

CASE 445 CASE 455


STYLE 1 STYLE 1

CASE 700 CASE 714F CASE 714G


STYLE 2 STYLE 1 STYLE 1

CASE 825A
STYLE 2

Motorola Master Selection Guide 5.10-27 RF Products


RF Amplifiers
High Power
Complete amplifiers with 50 ohm in/out impedances are available for a variety of applications including land mobile radios, base
stations, TV transmitters and other uses requiring large-signal amplification, both linear and Class C. Frequencies covered range
from 68-1785 MHz with power levels extending to 180 watts.

Land Mobile/Portable
The advantages of small size, reproducibility and overall lower cost become more pronounced with increasing frequency of
operation. These amplifiers offer a wide range in power levels and gain, with guaranteed performance specifications for bandwidth,
stability and ruggedness.

Table 1. VHF/UHF, Class C


Pout Pin Gp Vee
Output Power Input Power Frequency Power Gain, Min Supply Voltage
Device Watts Watts MHz dB Volts Package/Style
68-210 MHz, VHF Band - Class C (Silicon Bipolar Die)
MHW105 5 0.001 68-88 37 7.5 301K13
MHW607-1 7 0.001 186-150 38.4 7.5 301K13
MHW607-2 7 0.001 146-174 38.4 7.5 301K13
MHW607-3 7 0.001 174-195 38.4 7.5 301K13
MHW607-4 7 0.001 184-210 38.4 7.5 301K13
400'-512 MHz, UHF Band - Class C (Silicon Bipolar Die)
MHW704-1 3 0.001 400-440 34.8 6.0 301J/1
MHW704-2 3 0.001 440-470 34.8 6.0 301J/1
MHW707-1 7 0.001 403 - 440 38.4 7.5 301J/1
MHW707-2 7 0.001 440-470 38.4 7.5 301J/1
MHW707-3 7 0.001 470-500 38.4 7.5 301J/1
MHW707-4 7(23) 0.001 490-512 38.4(23) 7.5 301J/1
MHW720A 1(22) 20 0.15 400 - 440 21 12.5 700/2
MHW720A2(22) 20 0.15 440 - 470 21 12.5 700/2
806-960 MHz, UHF Band - Class C (Silicon Bipolar Die)
MHW851-1 1.6 0.001 820-850 32 6 301N/1
MHW851-2 1.6 0.001 870-905 32 6 301N/1
MHW851-3 2 0.001 890-915 33 6 301N/1
MHW851-4 1.6 0.001 915-925 32 6 301N/1
MHW803-1 2 0.001 820-850 33 7.5 301E11
MHW803-2 2 0.001 806-870 33 7.5 301E11
MHW803-3 2 0.001 870-905 33 7.5 301E11
MHW804-1 4 0.001 800-870 36 7.5 301F/1
MHW806A2(22) 6 0.03 806-870 23 12.5 301H/2
MHW806A4(22) 6 0.04 870-950 21.7 12.5 301H12
806 - 960 MHz, UHF Band - (LDMOS Die)
MHW2821-1* 20 <0.250 806-870 19 12.5
MHW2821-2* 18 <0.300 890-950 17.9 12.5
824 - 915 MHz, UHF Band - Class C (GaAs FET Die)
MHW9002-1 (22) 1.4 0.005 824-849 24.5 5.8
MHW9002-2(22) 1.4 0.005 870-905 24.5 5.8
1710 - 1785 MHz, UHF Band - (GaAs FET Die)
IMHW9014* I 2.1 I 0.001 1710-1785 33.2 6.0 420/1
(22)Designed lor Wide Range Pout Level Control
(23)po @ I = 490 MHz. Po = 6.5 W @ 1= 512 MHz
* New Product

RF Products 5.10-28 Motorola Master Selection Guide


High Power: Land Mobile/Portable (continued)

Table 2. UHF, Linear

I Pout I Pin f
Gp I Vee

Oevice
Output Power
Watts
Input Power
Watts
Frequency
MHz
Power Gain, Min
dB
Supply Voltage
Volts Package/Style I
824-849 MHz, UHF Band - Class AB (Silicon Bipolar Die)
MHW920* 0.8(24) 0.001 824-849 29 6
MHW927B(22) 6(24) 0.001 824-849 37.8 12.5
880-960 MHz (for GSM) - Class AB (Silicon Bipolar Die)
MHW953(22) 3.5 0.001 890-915 35.4 7.2
MHW954(22) 3.5 0.1 890-915 15.4 7.2

880-960 MHz (for GSM) - Class AB (LOMaS Silicon FET)

~--U-----TI
MHW913 0.1
MHW914(22)
0.001
14
14
880-915
890-915
21.5
41.4
12.5
12.5
301AB/l
301R/l
MHW916
-- ---- 16 0.036
- I 925-960 I 26.5 26 I 301AB/l

TV Transmitters
Table 3. UHF Ultra Linear for TV Applications

_._._-
These amplifiers are characterized for ultra-linear applications in Band IV and Band V TV transmitters.

Gp (Min)/Freq. 3 Tone(8) 3 Tone(25)


Frequency Pref Power Gain IMOl IM02 Vee
Device MHz Watts dB/MHz dB dB Volts elass Package/Style
MRFA2600(26) 470-860 20 10.5/860 -50 -53 26.5 A 429Ail
MRFA2602(28) 470-860 40 9/860 -50 -53 25.5 A 429C/l
RFA8090B 470-860 95(11) 8/860 - - 28 AB 429E/l
MRFA2604* 470-860 180(11) 8/860 - - 28 AB 439/1
(8)Vision Carrier: - 8 dB; Sound Carrier: - 7 dB; Sideband Carrier: - 16 dB
(11)Output power at 1 dB compression in Class AB
(22)Designed for Wide Range Pout Level Control
(24)Average Power; Peak Power is twice average power
(25)Vision Carrier: - 8 dB; Sound Carrier: - 10 dB; Sideband Carrier: - 16 dB
(26)Formerly known as "RFA6031"
(28)Formerly known as "RFA6060"
* New Product

Motorola Master Selection Guide 5.10-29 RF Products


Low Power
The following categories describe a wide range of complete amplifier assemblies both hybrid and monolithic for use in CATV
distribution systems, instrumentation, communications and military equipment. A variety of power levels and frequencies of
operation is offered for many applications.

CATV Distribution
Motorola Hybrids are manufactured using the latest generation technology which has set new standards for CATV system
performance and reliability. These hybrids have been optimized to provide premium performance in all CATV systems up to 152
channels.

Table 1. 5-50 MHz Hybrids, VCC = 24 Vdc, Class A


Maximum Distortion Specifications
Noise
Composite Cross Figure
Hybrid Channel IDC 2nd Triple Beat Modulation @50
Gain Loading Output Order MHz
(Nominal) Capacity Level Test<30)
dB dB
mA dB Packagel
Device dB Max dBmV dB 4CH 4CH Max Style

Low Current Amplifiers


MHWl184L 18 4 135 +50 -70 -73 -64 5 714/1
MHW1224L 22 4 135 +50 -70 -72 -63 5 714/1
MHW1254L 25 4 135 +50 -70 -70 -62 4.5 714/1
MHW1304L 30 4 135 +50 -70 -66 -57 4.5 714/1

Table 2. 5-200 MHz Hybrids, VCC = 12 Vdc, Class A


Maximum Distortion Specifications
Noise
Hybrid Channel 2nd Order Composite Triple Figure
Gain Loading Triple Beat<51) Beat @200
(Nominal) Capacity MHz
Test(48) Test(49) Test<50) dB (Typ) dB dB
Package!
Device dB dB dB dB 22CH 26CH Typ Max Style
MHW1254Lc(46a) 24.8 22 -68(19) -59(19) -57(19) -69 -66 -71 5.0 431Nl
MHW1304Lc{46a) 29.8 22 -68(19) -59(19) -57(19) -71 -67 -71 5.0 431Nl

Table 3. 5-200 MHz Hybrids, VCC = 24 Vdc, Class A


Maximum Distortion Specifications
Noise
Composite Cross Figure
Hybrid Channel 2nd Triple Beat Modulation @175
Gain Loading Output Order MHz
(Nominal) Capacity Level Test(30)
dB dB

Device dB dBmV dB 22CH I 26CH 22CH I 26CH


dB
Max
Package!
Style

High-Split Reverse Amplifiers


MHWl134 13 22 +50 -72 -73 -71(19) -65 -65(19) 7 714/1
MHWl184 18 22 +50 -72 -70 -70(19) -64 -64(19) 5.5 714/1
MHW1224 22 22 +50 -72 -69 -68.5(19) -62 -62(19) 5.5 714/1
MHW1244 24 22 +50 -72 -68 -67.5(19) -61 -61(19) 5 714/1
(19}Typical
(30)Channels 2 and A @ 7
(46}To be introduced: a}lst half of 1996; b) 2nd half of 1996.
(48 lt2 MHz and 43.25 MHz @ 55.25 MHz, Vout = 50 dBmV/ch
(49}54 MHz and 121.25 MHz @ 175.25 MHz, Vout = 50 dBmV/ch
(50}54 MHz and 145.25 MHz @ 199.25 MHz, VOU! = 50 dBmV/ch
(51}44 dBmV/ch

RF Products 5.10-30 Motorola Master Selection Guide


Low Power: CATV Distribution (continued)

Table 4. 40-450 MHz Hybrids, VCC =24 Vdc, Class A --


Maximum Distortion Specifications Noise
Hybrid Channel Composite Cross Figure
Gain Loading Output 2nd Order Triple Beat Modulation @450MHz
(Nominal) Capacity Level Test
dB dB dB PackagE'!
Device dB dBmV dB 60CH 60CH Max Style
Conventional Hybrids
MHW5142A 14 60 +46 -74(31) -61 -62 7 714/1
MHW5172A 17 60 +46 -74(31) -60 -62 7 714/1
MHW5182A 18 60 +46 _72(31) -61 -59 6.5 714/1
MHW5222A 22 60 +46 _72(31) -60 -59 5.5 714/1
MHW5272A 27 60 +46 -68(31) -59 -60 6.0 714/1
MHW5342A 34 60 +46 -68(31) -59 -59 6.0 714/1
MHW5382A

Power Doubling Hybrids


38 60 +46 -64(31)
--'---. __
-59
.-
-59 5.0 714/1

MHW5185B 7.0 714/1


MHW5225 6.0 714/1

Feedforward Hybrids
1MFF124B 1 24 60 +46 -84(31) -79 [ -_7_5____L -_ _ _
10____~. 825N2~
Table 5. 40-550 MHz Hybrids, VCC = 24 Vdc, Class A
Maximum Distortion Specifications
Noise
Hybrid Channel Output 2nd Composite Cross Figure
Gain Loading Level Order Triple Beat Modulation @550MHz
(Nom.) Capacity Test
dB dB dB
Package!
Device dB dBmV dB 77CH I 87CH 77CH L87CH Max Style
--
Conventional Hybrids
MHW6142 14 77 +44 _72(35) -59 - -62 - 7.5 714/1
MHW6172 17 77 +44 _72(35) -59 - -62 - 7 714/1
MHW6182 18 77 +44 _72(35) -58 - -62 - 7 714/1
MHW6222 22 77 +44 -66(35) -57 - -57 - 6 714/1
MHW6272 27 77 +44 -64(35) -57 - -57 - 6.5 714/1
MHW6342 34 77 +44 -64(35) -57 - -57 - 6.5 714/1

Power Doubling Hybrids


MHW6185B 18 77 +44 -65(36) -65 - -68 - 7.5 714/1
MHW6205 20 77 +44 -60(36) -64 - -67 - 7.5 714/1
MHW6225 22 77 +44 -55(36) -62 - -60 - 7.0 714/1
Feedforward Hybrids
L..IM_F..,..F_2_24_B_ _--'-I__ 77_--'-_+_4_4---''---_8_6(_35_)--'-_-_.7Q--=-_L~ _ _---.JL--__~._I.~~5N2
24_--'-__ 1
(31 )Channels 2 and M13 @ M22
(32)Composile 2nd order; Vout = +46 dBmV/ch
(35)Channels 2 and M30 @ M39
(36)Composite 2nd order; Vout =+44 dBmV/ch

Motorola Master Selection Guide 5.10-31 RF Products


Low Power: CATV Distribution (continued)

Table 6. 40-600 MHz Hybrids, VCC =24 Vdc, Class A


Maximum Distortion Specifications
Noise
Hybrid Channel Output 2nd Composite Cross Figure
Gain Loading Level Order Triple Beat Modulation @600MHz
(Nom.) Capacity Test
dB dB dB
Package!
Device
'-,::--"
dB dBmV dB 85CH I 87CH 85CH I 87CH Max Style
Conventional Hybrids
'---'-
MHW6182-6 18 87 +44 -56(36) -- -57 -- -55 6 714/1
MHW6222-6 22 87 +44 -56(36) -- -56 -- -56 6 714/1
MHW6272-6(46a) 27 87 +44 -63(36) -- -57 -- -55 6.5 714/1
MHW6292-6(46a) 29 87 +44 -63(36) -- -57 -- -55 6.5 714/1

1-----1 -- I
Power Doublmg Hybrids
MHW6185-mJ ii--" I 87 +44 -64(36) -64 -66 7 714/1
MHW6205-6A * 20
Feedforward Hybrids
87
I. +44 -63(36)
--
-- -63 -65 6.5 714/1

~F3~~""=-.____ 1 24 85 ..1 +44 ] -86(38) L-73


J_~=C==L 12.5 825A12 I
Table 7. 40-7~0 MHz Hybrids, VCC = 24 Vdc, Class A
:...- -"--------- -
Maximum Distortion Specifications
""
Noise
Hybrid Channel Output 2nd Composite Cross Figure
Gain Loadin g Level Order Triple Beat Modulation @750MHz
(Nom.) Capacity Test
dB dB dB
Package!
Device dB dBmV _"_.~~"_""_,-~10 ~J2~~~_,-~10 CH 1128 CH Max Style

L
Conventional Hybrids
-
40 -60(39) -62 -- -66 -- RO 714/1
MHW7182 18 40 -62(39) -62 -- -64 -- 6.5 71411
MHW7222 22 0 -55(39) -60 -- -60 -- 7 714/1
MHW7242* 24
MHW7142 _ _-,-__
14_-"_ _ 1_1!__ 40 -60(39) -60 -- -60 -- 7 714/1
MHW7272* 27 40 -60(39) -60 -- -60 -- 6.5 714/1
MHW7292*
~ ...---.-""--
29 0
---- -60(39) -60 --
.- -60 -- 6.5 714/1
~-.,

Maximum Distortion Specifications


Noise
Hybrid Channel Output 2nd Composite Cross Figure
Gain Loading Level Order Triple Beat Modulation @750MHz
(Nom.) Capacity Test
dB dB dB
Package!
Dev ice dB dBmV dB 110 CH 110CH Max Style
Power Doublers
.----,-------r--------r------~----~_r--------,_--------~--------~--------__,
MHW7185A*
MHW7205A* ~~~~_ _- L_ _ _ _---L------~-- __- - L - - -
Feed Forward Hybrids
1MFF424B* I 24 110 +44 -70(36) -65(36) 13 825A12
(36)Composite 2nd order; Vout = + 44 dBmV/ch
(38)Channels 2 and M39 @ M48
(39)Composite 2nd order; Vout = +40 dBmV/ch
(46)To be introduced: a)151 half of 1996; b) 2nd half of 1996.
* New Product

RF Products 5.10-32 Motorola Master Selection Guide


Low Power: CATV Distribution (continued)

Table 8. 40-860 MHz Hybrids, VCC =24 Vdc, Class A


Maximum Distortion Specifications
Noise
Hybrid Channel Output 2nd Composite Cross Figure
Gain Loading Level Order Triple Beat Modulation @860MHz
(Nom.) Capacity Test
dB dB dB
Package!
Device dB dBmV dB 110 CH 1128 CH 110 CH 1128 CH Max Style
Conventional Hybrids
MHWB142 14 12B +3B -60(40) - -61 - -66 B.O 714/1
MHWB1B2 18 128 +38 _60(40) - -60 - -60 7 714/1
MHW8222 22 128 +38 -60(40) - -60 - -60 7.5 714/1
MHWB242* 24 12B +38 -60(40) - -60 - -60 7.5 714/1
MHW8272* 27 128 +38 -60(40) - -60 - -60 7.0 714/1
MHWB292* 29 12B +3B -56(40) - -60 - -60 7.0 714/1
Power Doubling Hybrids
MHW8185(46b) B.5
MHW8205(46b) 20 B.5
Feedforward Hybrids
IMFF524B(46a) I 24 128 +40 -70(39) -70 12.0 825A12
Table 9. 40-860 MHz Hybrids
2nd Order DIN45004B Noise Figure
IMD @ 1=860 MHz @860MHz
Gain Frequency Vce @Vout=
dB 50dBmV/ch dB~V dB Package/
Device Typ MHz Volts Max Min Max Style
Conventional Hybrids
CA901 -60 120
CA901A -64 120

CA922 -63 123


CA922A -67 123
Hybrid Jumper
ICATHRU I o 1-1000 75 Ohm Broadband Hybrid Jumper 714V

Table 10. 40/1000 MHz Hybrids, VCC =24 Vdc, Class A


Maximum Distortion Specifications
Noise
Output 2nd Composite Cross Figure
Hybrid Channel
Level Order Triple Beat Modulation @860MHz
Gain Loading
Test
(Nom.) Capacity
dB dB dB
Package!
Device dB dBmV dB 152CH 152CH Max Style

-59 -63
-59 -59
-58 -59
(39)Composite 2nd order; Vout = +40 dBmVlch
(40)Composite 2nd Order; Vout = +38 dBmVlch
(46)To be introduced: a)lst half of 1996; b) 2nd half of 1996.
*New Product

Motorola Master Selection Guide 5.1D-33 RF Products


Low Power: CATV Distribution (continued)
Table 11. General Purpose Wideband Amplifiers
Frequency Gain Output Level Noise Figure Package!
Range Min!TYp Supply Voltage 1 dB Compression @250MHz Style

Device MHz dB Vdc MW/@MHz dB


50-100 n Hybrids
MHW591 1-250 34.5/36.5 13.6 700/100 5 714/1
MHW592 1-250 33.5/35 24 900/100 5 714/1
MHW593 10-400 33134.5 13.6 600/200 5 714/1
MHW590 10-400 31.5/34 24 800/200 5 714/1

Table 12. Standard Linear Hybrids


This series of RF linear hybrid amplifiers have been optimized for wideband, 50 ohm applications. These amplifiers were
designed for multi-purpose RF applications where linearity, dynamic range and wide bandwidth are of primary concern. Each
amplifier is available in various package options. The MHL series utilizes a new case style that provides microstrip input and
output connections.
3rd Order
Gain Intercept
VCC Flatness GainlFreq. P1dB NF/Freq. PointlFreq. VSWR Vsfls
(Nom.) BW Typ Typ Typ Typ Typ Max Typ Case!
Device Volts MHz ±dB dBlMHz dBm dB/MHz dBrnlMHz son V/mA Style
CA4812C(41) 12 10-1000 1 17.5/1000 26 7.5/1000 3811000 2.6 121380 714P/3
CA5815C(41) 15 10-1000 1 15.5/1000 30 8.5/1000 40.5/1000 2.6 15nOO 714P/3
CA4815C(41) 15 10-1000 1 17.5/1000 26 7.5/1000 3811000 2.6 151380 714P/3
MHL8015* 15 10-1000 1 18.5/900 26 7.5/1000 38/1000 2.6 151380 448/2
MHL8115* 15 10-1000 1 17.5/900 30 8.5/1000 41.5/1000 2.6 15nOO 448/2
MHL9125* 15 800-960 0.5 20/900 31 7.5/960 43/879 1.5 15nOO 448/2
CA2830C 24 5-200 0.5 34.5/100 29 4.7/200 46/200 2 24/300 714F/l
CA2833C 24 5-200 0.5 34.5/100 29 4.7/200 46/200 2 24/300 714G11
CA2842C 24 10-400 0.5 221100 32 4/100 44/300 1.5 24/230 714F/l
CA2810C 24 10-450 1.5 34/50 30 5/300 43/300 2 24/310 714F/l
CA2818C 24 10-400 0.5 18.5/50 30 5/200 45/200 2 24/205 714F/l
CA4800C(41) 24 10-1000 1 17.5/1000 26 7.5/1000 3811000 2.6 24/220 714P/2
CA2832C 28 1-200 0.5 35.5/100 33 5/200 47/200 2 281435 714F/l
CA5800C(41) 28 10-1000 1 15.5/1000 30 8.5/1000 40.5/1000 2.6 28/400 714P/2
CA5801(41) 28 50-1000 1 17.5/1000 30 8.5/1000 41.5/1000 2.6 281400 714P/2
MHL8018* 28 10-1000 1 18.5/900 26 7.5/1000 38/1000 2.6 28/400 44811
MHL8118* 28 10-1000 1 17.5/900 30 8.5/1000 38/1000 2.6 28/200 448/1
MHL9128* 28 800-960 0.5 20/900 31 7.5/960 431879 1.5 28/400 44811
(41)Available in thin flange package (714T) by adding suffix "SO after part number, i.e. CA4800CS.
* New Product

RF Products 5.10-34 Motorola Master Selection Guide


CRT Drivers
Table 1. Video Amplifiers
These complete hybrid amplifiers are specifically designed for CRT driver applications requiring high frequency response and
high voltage, such as high resolution color graphics video monitors. Gold metallized die and substrates are used to ensure
high reliability and improved ruggedness.
Gain(42) trlt{. 3dBBW Video
Vcd nom} (Typ) (Typ) 43) (Typ)(43) Clock Freq. Vout(Max)
Device Volts VN nsec MHz MHz Volts Load Package/Style
CR2428 60 12 2.0 145 290 50P-P 6 to20pF 431A11
MHW252S(45) * 60 12 2.S 100 200 50P-P 6 to20pF 445/1
MHW272S(45)* 60 12 3.0 100 200 50P-P 6 to20pF 455/1
MHW362S(45)* 70 12 2.7 120 240 60P-P 6 to20pF 455/1
CR342S SO 12 2.2 130 260 70 P-P 6 to 20 pF 431A11
MHW352S(45)* SO 12 2.7 120 240 70P-P 6 to20pF 445/1
MHW372S(45)* SO 12 2.5 120 240 70P-P 6 to20pF 455/1

Fiber Optic Receivers


Table 1. 40-860 MHz Hybrids
Equivalent Input
Maximum Distortion Specifications
Hybrid Flatness Noise
Responsivity
Min IMD2(52) IMD3(52) pA/JHz Package/
Device
Fiber Optic Receiver Hybrids
dB dB dB
I dB Max Style

IMHLWSOOO(46b) I 23.5 ±0.5 -70 --80 7.5 714U/1


(42)lnsertion Gain; 50 n Source
(43)Capacitive Load 8.5 pF, Vout = 40 V p.p
(45)Triple Video Amplifiers
(46)To be introduced: a)1 st half of 1996; b) 2nd half of 1996.
(52)Two laser test with 0.5 mW optical power at 40% modulation index per lase; fl = 373.25 MHz f2 = 415.25 MHz
* New Product

Motorola Master Selection Guide 5.10--35 RF Products


RF Products 5.10-36 Motorola Master Selection Guide
Surface Mount
Information

In Brief . ..
Page
Surface Mount Technology is now being utilized to offer Information for Using Surface Mount Packages"" 5,11-2
answers to many problems that have been created in the Footprints for Soldering , " " " , " ' , " , , " , , " , ' 5,11-5
use of insertion technology,
Limitations have been reached with insertion packages
and PC board technology, Surface Mount Technology offers
the opportunity to continue to advance the state-of-the-art
designs that cannot be accomplished with Insertion
Technology,
Surface Mount Packages allow more optimum device
performance with the smaller Surface Mount configuration,
Internal lead lengths, parasitic capacitance and inductance
that placed limitations on chip performance have been
reduced,
The lower profile of Surface Mount Packages allows
more boards to be utilized in a given amount of space, They
are stacked closer together and utilize less total volume than
insertion populated PC boards,
Printed circuit costs are lowered with the reduction of the
number of board layers required, The elimination or
reduction of the number of plated through holes in the board
contribute significantly to lower PC board prices,
Surface Mount assembly does not require the preparation
of components that is common on insertion technology lines,
Surface Mount components are sent directly to the assembly
line, eliminating an intermediate step,
Automatic placement equipment is available that can
place Surface Mount components at the rate of a few
thousand per hour to hundreds of thousands of components
per hour,
Surface Mount Technology is cost effective, allowing the
manufacturer the opportunity to produce smaller units and
offer increased functions with the same size product.

Motorola Master Selection Guide 5,11-1 Surface Mount Information


INFORMATION FOR USING SURFACE MOUNT PACKAGES
RECOMMENDED FOOTPRINTS FOR SURFACE MOUNTED APPLICATIONS
Surface mount board layout is a critical portion of the total geometry, the packages will self align when subjected to a
design. The footprint forthe semiconductor packages must be solder reflow process.
the correct size to ensure proper solder connection interface
between the board and the package. With the correct pad

POWER DISSIPATION FOR A SURFACE MOUNT DEVICE


The power dissipation for a surface mount device is a z 160
function of the drain/collector pad size. These can vary from o \ I
the minimum pad size for soldering to a pad size given for
maximum power dissipation. Power dissipation for a surface
mount device is determined by TJ(max), the maximum rated
junction temperature of the die, RaJA, the thermal resistance
from the device junction to ambient, and the operating
B
Z
~
~~
Zt.)
~L
~~
140

120
-t\ Board Material = 0.0625"
G-l0/FR-4, 2 oz Copper
- I
o.swaT
I
TA = 25°C

w_
",!Xl
temperature, TA. USing the values provided on the data sheet, ....J:2 1.5Watls
«« +watr
Po can be calculated as follows: :20
ffi I- 100 [\ V I
r-- -l-l 1
Po=
TJ(max)-TA
RaJA

The values for the equation are found in the maximum


F=
-<:
al
'" SO
0.0
'Mounted on the DPAK footprint
0.2 0.4 0.6
- r--
O.S - ~
1.0
ratings table on the data sheet. Substituting these values into A, AREA (SQUARE INCHES)
the equation for an ambient temperature TA of 25°C, one can Figure 1. Thermal Resistance versus Drain Pad
calculate the power dissipation of the device. For example, for Area for the SOT-223 Package (Typical)
a SOT-223 device, Po is calculated as follows.
Z 100
o Board Material = 0.0625"
B 1\ G-1 O/FR-4, 2 oz copr - !---
z
~ [\ ___ 1.75Watts
w-_ SO
The 156°CIW for the SOT-223 package assumes the use
of the recommended footprint on a glass epoxy printed circuit
~~ ~V TA=25°C
~L
board to achieve a power dissipation of 800 milliwatts. There wI-
fiJrg60
_1
are other alternatives to achieving higher power dissipation ",!Xl
....J:2 \ L 3.0Watls
from the surface mount packages. One is to increase the area
of the drain/collector pad. By increasing the area of the
««
~~
~ 40
\v
drain/collector pad, the power dissipation can be increased.
~ V 5.0 Watts
Although the power dissipation can almost be doubled with ~ r--. ~
this method, area is taken up on the printed circuit board which
can defeat the purpose of using surface mount technology.
'" 200 2 4 6 10
A, AREA (SQUARE INCHES)
For example, a graph of RaJA versus drain pad area is shown
Figure 2. Thermal Resistance versus Drain Pad
in Figures 1, 2 and 3.
Area for the DPAK Package (Typical)

z 70
Another alternative would be to use a ceramic substrate or
o IBoard Mktenal :b.0625" I

an aluminum core board such as Thermal Clad™. USing a


B
z
G-1 O/FR-4, 2 oz Copper TA = 25°C
~ 60
board material such as Thermal Clad, an aluminum core /2.5Watls
board, the power dissipation can be doubled using the same
footprint.
/ I
I
/,3.5Watts

~ J
\. , / 5Watls

V I
"' 2 6
1

S 10
A, AREA (SQUARE INCHES)
12 14 16

Figure 3. Thermal Resistance versus Drain Pad


Area for the D2PAK Package (Typical)

Surface Mount Information 5.11-2 Motorola Master Selection Guide


SOLDER STENCIL GUIDELINES
Prior to placing surface mount components onto a printed for the drain pad is not critical as long as it allows approximately
circuit board, solder paste must be applied to the pads. Solder 50% of the pad to be covered with paste.
stencils are used to screen the optimum amount. These stencils
are typically 0.008 inches thick and may be made of brass or
stainless steel. For packages such as the SC-59,
SC-70/S0T-323, SOD-123, SOT-23, SOT-143, SOT-223,
SO-S, S0-14, S0-16, 'Micro8, and SMAlSMBlSMC diode
packages, the stencil opening should be the same as the pad SOLDER PASTE
OPENINGS
size or a 1:1 registration. This is not the case with the DPAK,
D2PAK and D3PAK packages. If a 1:1 opening is used to screen
solder onto the drain pad, misalignment and/or '10mbstoning"
may occur due to an excess of solder. For these two packages,
D STENCIL

the opening in the stencil for the paste should be approximately


50% of the tab area. The opening for the leads is still a 1:1
Figure 4. Typical Stencil for OPAK,
registration. Figure 4 shows a typical stencil for the DPAK, 02PAK
02PAK and 03PAK Packages
and D3PAK packages. The pattern of the opening in the stencil

SOLDERING PRECAUTIONS
The melting temperature of solder is higher than the rated • After soldering has been completed, the device should be
temperature of the device. When the entire device is heated allowed to cool naturally for at least three minutes.
to a high temperature, failure to complete soldering within a Gradual cooling should be used since the use of forced
short time could result in device failure. Therefore, the cooling will increase the temperature gradient and will
following items should always be observed in order to result in latent failure due to mechanical stress.
minimize the thermal stress to which the devices are • Mechanical stress or shock should not be applied during
subjected. cooling,
• Always preheat the device.
• The delta temperature between the preheat and soldering • Soldering a device without preheating can cause excessive
should be 100°C or less.* thermal shock and stress which can result in damage to the
• When preheating and soldering, the temperature of the device.
leads and the case must not exceed the maximum
temperature ratings as shown on the data sheet. When • Due to shadowing and the inability to set the wave height to
using infrared heating with the reflow soldering method, incorporate other surface mount components, the D2PAK is
the difference should be a maximum of 10°C. not recommended for wave soldering.
• The soldering temperature and time should not exceed
260°C for more than 10 seconds.
• When shifting from preheating to soldering, the maximum
temperature gradient shall be 5°C or less.

Motorola Master Selection Guide 5.11-3 Surface Mount Information


TYPICAL SOLDER HEATING PROFILE
For any given circuit board, there will be a group of control line on the graph shows the actual temperature that might be
settings that will give the desired heat pattern. The operator experienced on the surface of a test board at or near a central
must set temperatures for several heating zones and a figure solder joint. The two profiles are based on a high density and
for belt speed. Taken together, these control settings make up a low density board. The Vitronics SMD310 convection/in-
a heating "profile" forthat particular circuit board. On machines frared reflow soldering system was used to generate this
controlled by a computer, the computer remembers these profile. The type of solder used was 6213612 lin Lead Silver
profiles from one operating session to the next. Figure 5 shows with a melting point between 177-189°C. When this type of
a typical heating profile for use when soldering a surface furnace is used for solder reflow work, the circuit boards and
mount device to a printed circuit board. This profile will vary solder joints tend to heat first. The components on the board
among soldering systems, but it is a good starting point. are then heated by conduction. The circuit board, because it
Factors that can affect the profile include the type of soldering has a large surface area, absorbs the thermal energy more
system in use, density and types of components on the board, efficiently, then distributes this energy to the components.
type of solder used, and the type of board or substrate material Because of this effect, the main body of a component may be
being used. This profile shows temperature versus time. The up to 30 degrees cooler than the adjacent solder joints.

STEP 1 STEP 2 STEP 3 STEP 4 STEPS STEP 6 STEP?


PREHEAT VENT HEATING HEATING HEATING VENT COOLING
ZONE 1 "SOAK" ZONES 2 &5 ZONES 3 &6 ZONES4& ?
" AMP' "RAMP' "SOAK" 'SPIKE"
I I
DESIRED CURVE FOR HIGH
MASS ASSEMBLIEf
150°C

SOLDER IS LIQUID FOR


40 TO 80 SECONDS
(DEPENDING ON
MASS OF ASSEMBLY)

DESIRED CURVE FOR LOW


I
MASS ASSEMBLIES

TIME (3 TO ? MINUTES TOTAL) .. TMAX


Figure 5. Typical Solder Heating Profile

Surface Mount Information 5.11-4 Motorola Master Selection Guide


Footprints for Soldering

6-PIN DIP
GULL-WING

~:~ 1- m" ;: ",': ~1-


- m'; 0,094
m

0079
2,0
TD D D~T
,045 (± ,005)

L
2.4

T~R
,300 (± ,005)
~
L ~ h
~~(m(
0'039
1,0
l 035
0'0,9

~~~ (in::s) ~~~ Cn::s)


0,8
0,8
-I
,040
(± ,005)
~
(INCHES)

Standard "S" Option


SC-59 SOT-23

r-- ~~;-,
I f-- 0,079 ---J I 1.52

lG 'G~I rOODD~
0,075
1"E r- 0,071 -I
0,041
""T05
0,108
2,75
0,275 0,155

J1+I I+l ~3 I 1
,8
IODDDj"
~ L--.l~
I
I"~~I~I~I
0,050
r- 1,270
1,2 0,8 0,85 (inmChmes)

SOT-143 50-8, OPTO 50-8,


50-14,50-16

Motorola Master Selection Guide 5,11-5 Surface Mount Information


0.089
_ _ _ 0.157 _ _ ~
I_ 2.261 "I

TO D
4.0

I t
--+--+-+--+--+--+-1- 0.0787 2.743
1 ~2.0 L
I" 0.085 "I
LOO~87 J
2.0
2.159

SMA 5MB

1--QJl1------i
I I r ~----J 4.191 r- Q118
--1 0.100 1-- 3.0 1
D 0~:3 r
4.343

IO
L 0 I" .QJ1Q "I
-
I
0.190

I
f---
2.54

D~2
TO.243
-

2.794 ( inChes)
\--;;;;;;-
SMC
DPAK

r--~--j
0'864~
1 8.38 1
I: 21.95

r
----I

r
0.531 0.197
13.5 I 50

0.42 - f - -
D~ f 2 032 0.24 I ~8
I---r
O.
3.0
10.66 - --- - - - - ~ 6096 0.653

L~ ~9JfU-
I l
0.215

063
1-3.05 L I

~~-
I
I I
5.45

17.02 ( '::05) 12 .7

Surface Mount Information 5.11-6 Motorola Master Selection Guide


f
0.248
6.3

-.--
0.079
2.0
-L
0.059 1 0.059 1 1 0.059 1
1 1
1.5 1.5 1.5
50T-223 5C-70150T-323

1- {d@}~rn{r~
1 0.91

T
D D
-- 0.036

-t

_I
~8
1.22
-,-,

II I I I
Lilli {or
,,'i~,)
I I I

424
T I
5.28

~~9: in~:S =M-H=


I
I•
r-
2.36

0.165
.
I I 0.65 6X
-+I I+- (0.0256)

500-123 MICR08

I Master Select·ton Guide


Motoroa 5.11-7 Surface Mount Information
Surface Mount Information 5.t1-8 Motorola Master Selection Guide
Tape and Reel Specifications
and Packaging Specifications

Page
Tape and Reel Specifications ................... 5.12-2
Embossed Tape and Reel Ordering Information. 5.12-3
Embossed Tape and Reel Data for Discretes ... 5.12-4
Lead Tape Packaging Standards
for Axial-Lead Components ................. 5.12-6
Packaging Specifications ....................... 5.12-7
T0-92 EIA Radial Tape in Fan Fold Box
or on Reel ................................. 5.12-7
Fan Fold Box Styles ......................... 5.12-9
Adhesion Pull Tests ......................... 5.12-9
Reel Styles ............................... 5.12-10

Motorola Master Selection Guide 5.12-1 Tape and Reel Specifications


Tape and Reel Specifications
and Packaging Specifications

Embossed Tape and Reel is used to facilitate automatic pick and place equipment feed requirements. The tape is used as the
shipping container for various products and requires a minimum of handling. The antistatic/conductive tape provides a secure
cavity for the product when sealed with the "peel-back" cover tape.

• Two Reel Sizes Available (7" and 13") • S0-8, Micro8, OPTO SO-8, SOT-223, SMA, 5MB in
• Used for Automatic Pick and Place Feed Systems 12 mmTape
• Minimizes Product Handling • OPAK, PFP-16, SO-14, SO-16, SMC, TSSOP-16,
• EIA481,-1,-2 TSSOP-20, 430 and 430B in 16 mm Tape
• S00-123, SC-59, SC-70/S0T-323, SOT-23, SOT-143 • 02PAK, 03PAK, 6-Pin Optoisolators in 24 mm Tape
in 8 mm Tape
Use the standard device title and add the required suffix as listed in the option table on the following page. Note that the individual
reels have a finite number of devices depending on the type of product contained in the tape. Also note the minimum lot size is
one full reel for each line item, and orders are required to be in increments of the single reel quantity.

SOO-123 SC-59, SC-70/S0T-323, SOT-23 SOT-143


Bmm Bmm Bmm

MicroS, SO-S,
SOT-223 OPTOSo-S SO-14,16 SMA/SMB SMC
12mm 12mm 16mm
12mm 16mm

OPAK 02PAK 03PAK 6-Pin Optoisolators


16mm 24mm 24mm 24mm
0000000000000000

UUUD
TSSOP-16 TSSOP-20
16mm 16mm
430, 430B
16mm
o 0 0 0 0 0 0 0 0 0 o 0 0 0 0 0 0 0 0

00000 DIRECTION
OF FEED

Tape and Reel Specifications 5.12-2 Motorola Master Selection Guide


EMBOSSED TAPE AND REEL ORDERING INFORMATION

Devices Per Reel


Tape Width Pitch Reel Size and Minimum Device
Package (mm) mm (inch) mm (inch) Order Quantity Suffix
OPAK 16 8.0 ± 0.1 (.315 ± .004) 330 (13) 2,500 T4
02PAK 24 16.0 ± 0.1 (.630 ± .004) 330 (13) 800 T4
03PAK 24 24.0 ± 0.1 (.945 ± .004) 330 (13) 500 RL
SC-59 8 4.0±0.1 (.157±.004) 178 (7) 3,000 Tl
SC-70/S0T-323 8 4.0±0.1 (.157±.004) 178 (7) 3,000 Tl
8 330 (13) 10,000 T3
SMA 12 8.0 ± 0.1 (.315 ± .004) 330 (13) 5,000 T3
5MB 12 8.0± 0.1 (.315 ± .004) 330 (13) 2,500 T3
SMC 16 8.0±0.1 (.315±.004) 330 (13) 2,500 T3
S0-8, OPTO SO--8 12 8.0±0.1 (.315±.004) 178 (7) 500 Rl
12 330 (13) 2,500 R2
SO-14 16 8.0 ± 0.1 (.315 ± .004) 178 (7) 500 Rl
16 330 (13) 2,500 R2
SO-16 16 8.0 ±0.1 (.315 ± .004) 178 (7) 500 Rl
16 330 (13) 2,500 R2
SOO-123 8 4.0±0.1 (.157±.004) 178 (7) 3,000 Tl
8 330 (13) 10,000 T3
SOT-23 8 4.0±0.1 (.157± .004) 178 (7) 3,000 Tl
8 330 (13) 10,000 T3
SOT-143 8 4.0±0.1 (.157±.004) 178 (7) 3,000 Tl
8 330 (13) 10,000 T3
SOT-223 12 8.0±0.1 (.315±.004) 178 (7) 1,000 Tl
12 330 (13) 4,000 T3
6--Pin Optoisolators 24 12.0 ± 0.1 (.472 ± .004) 330 (13) 1000 R2
Micro8 12 8.0±0.1 (.315±.003) 330 (13) 4000 R2
PFP-16 16 12.0 ± 0.1 (.471 ± .004) 330 (13) 1,500 R2
TSSOP-16 16 8.0±0.1 (.315±.004) 330 (13) 2,500 R2
TSSOP-20 16 8.0 ± 0.1 (.315 ± .004) 330 (13) 2,500 R2
430, 430B 16 8.0 ± 0.1 (.315 ± .004) 178 (7) 500 Rl

Motorola Master Selection Guide 5.12-3 Tape and Reel Specifications


EMBOSSED TAPE AND REEL DATA FOR DISCRETES
CARRIER TAPE SPECIFICATIONS

10 Pitches Cumulative Tolerance on Tape


±0.2mm

D1
For Components
2.0 mm x 1.2 mm and Larger

For Machine Reference Only


Including Draft and RADII
User Direction of Feed
Concentric Around BO

• Top Cover Tape


Bar Code Label Thickness (t1)
RMin 0.10mm
(.004") Max.
Tape and Components
Shall Pass Around Radius 'R"
Without Damage
Embossed Carrier

r- (3.9371 1 mmMax
100mm~

Typical Component
Cavity Center Une
Tape

1mm
(.039") Max 250 mm
1 + - - - - - - - - - - - - ' - - ' - - - - (9.843") - - - - - . j
Typical Component Camber (Top View)
~ Centerline Allowable Camber To Be 1 mml100 mm Nonaccumulative Over 250 mm

DIMENSIONS
Tape
Size 81 MBX D D1 E F K Po P2 RMln TMax WMax
Bmm 4.55mm 1.5+0.1 mm 1.0 Min 1.75iO.l mm 3.5tO.05mm 2.4mmMax 4.0iO.l mm 2.0tO.l mm 25mm 0.6mm B.3mm
(.179") -0.0 (.039") (.069 ±.O04") (.I3B±.OO2") (.094") (.157± .004") (.079±.OO2") (.98") (.024") (.327")
(.059+.004"
12mm B.2mm 1.5 mm Min 5.5iO.05 mm 6.4 mm Max 30mm 12i.30mm
-0.0)
(.323") (.060") (.217± .002") (.252") (1.1B") (.470±'o12")
16mm 12.1mm 7.5iO.l0 mm 7.9mmMax 16.3mm
(.476") (.295±.004") (.311") (.642")
24mm 20.1 mm 11.5±0.1 mm 11.9mm Max 24.3mm
(.791") (.453i.004") (.468") (.957")
Melnc dImenSIons govem - English are In parentheses for reference only.
NOTE 1: AO, BO, and KO are detenmined by component size. The clearance between the components and the cavity must be within .05 mm min. to .50 mm max.,
the component cannot rotate more than 10° within the detenmined cavity.
NOTE 2: If Bl exceeds 4.2 mm (.165) for 8 mm embossed tape, the tape may not feed through all tapefeeders.
NOTE 3: P~ch infonmation is contained in the Embossed Tape and Reel Ordering Information on pg. 5.12-3.

Tape and Reel Specifications 5.12-4 Motorola Master Selection Guide


EMBOSSED TAPE AND REEL DATA FOR DISCRETES

I--- TMax
I Outside Dimension
Measured at Edge

A
~,~!. (=~
,~ll--l'5mmMin

I~" I f \~~"=/J
/ -1 ~" (.06")

-- 13.0mm±0.5mm
(.512" ± .002")

-~
-t--
f
50 mm Min
(1.96p

Full Radius

Inside Dimension
Measured Near Hub

Size A Max G TMax


8mm 330mm 8.4 mm + 1.5 mm, -0.0 14.4 mm
(12.992") (.33" + .059", -0.00) (.56")

12mm 330mm 12.4 mm + 2.0 mm, -0.0 18.4 mm


(12.992") (.49" + .079", -0.00) (.72")

16mm 360mm 16.4 mm + 2.0 mm, -0.0 22.4 mm


(14.173") (.646" + .078", -0.00) (.882")

24mm 360mm 24.4 mm + 2.0 mm, -0.0 30.4 mm


(14.173") (.961" + .070", -0.00) (1.197")

Reel Dimensions
Metric Dimensions Govern - English are in parentheses for reference only

Motorola Master Selection Guide 5.12-5 Tape and Reel Specifications


LEAD TAPE PACKAGING STANDARDS FOR AXIAL-LEAD COMPONENTS

MPQ
Device Quantity Component Tape Reel Reel Max Off
Product Title Per Reel Spacing Spacing Dimension Dimension Alignment
Case Type Category Suffix (Item 3.3.7) A Dimension BDimenslon C D{Max) E
Case 17-{)2 Surmetic 40 & RL 4000 0.2 +/- 0.015 2.062 +/- 0.059 3 14 0.047
600WattTVS
Case 41 A-{)2 1500WattTVS RL4 1500 0.4+/-0.02 2.062 +/- 0.059 3 14 0.047
Case 51-{)2 DO-7Glass RL 3000 0.2+/-0.02 2.062 +/- 0.059 3 14 0.047
(For Reference only)
Case 59-{)3 00-41 Glass & RL 6000 0.2 +/- 0.015 2.062 +/- 0.059 3 14 0.047
00-41 Surmetic 30
Rectifier
Case 59-{)4 500 Watt TVS RL 5000 0.2 +/-0.02 2.062 +/- 0.059 3 14 0.047
Rectifier
Case 194-{)4 110 Amp TVS RL 800 0.4+/-0.02 1.875 +/- '0.059 3 14 0.047
(Automotive)
Rectifier
Case 267-{)2 Rectifier RL 1500 0.4 +/-0.02 2.062 +/- 0.059 3 14 0.047
Case 299-{)2 00-35 Glass RL 5000 0.2 +/-0.02 2.062 +/- 0.059 3 14 0.047

Table 1. Packaging Details (all dimensions in inches)

Kraft Paper

Item 3.1.1
Max Off
Alignment
Container
Tape, WhHe
Item 3.2
(Anode)
E
Item 3.3.5
Both Sides
I:J
02
==
+ ..... 1++- 0.250
Item 3.3.2
~O.O 31
Item 3.3.5

Figure 1. Reel Packing Figure 2. Component Spacing

1.188
.--
3.50ia.
L-

Item 3.4

Figure 3. Reel Dimensions

Tape and Reel SpecHications 5.12-6 Motorola Master Selection Guide


TO-92 EIA, lEe, EIAJ
TO-92
Radial Tape in Fan Fold
RADIAL
Box or On Reel TAPE IN
Radial tape in fan fold box or on reel of the reliable TO-92 package are FAN FOLD
the best methods of capturing devices for automatic insertion in printed
circuit boards. These methods of taping are compatible with various BOX OR
equipment for active and passive component insertion.
• Available in Fan Fold Box
ON REEL
• Available on 365 mm Reels
• Accommodates All Standard Inserters
• Allows Flexible Circuit Board Layout
• 2.5 mm Pin Spacing for Soldering
• EIA-468, IEC 286-2, EIAJ RC1008B

Ordering Notes:
When ordering radial tape in fan fold box or on reel, specify the style per
Figures 3 through 8. Add the suffix "RLR" and "Style" to the device title, i.e.
MPS3904RLRA. This will be a standard MPS3904 radial taped and
supplied on a reel per Figure 9.
Fan Fold Box Information - Minimum order quantity 1 Box/$200LL.
Order in increments of 2000.
Reel Information - Minimum order quantity 1 Reel/$200LL.
Order in increments of 2000.

US/European Suffix Conversions

US EUROPE

RLRA RL

RLRE RL1

RLRM ZL1

Motorola Master Selection Guide 5.12-7 Tape and Reel Specifications


TO-92 EIA RADIAL TAPE IN FAN FOLD BOX OR ON REEL

Figure 1. Device Positioning on Tape

Specification
Inches Millimeter
Symbol Item Min Max Min Max
D Tape Feedhole Diameter 0.1496 0.1653 3.8 4.2
D2 Component Lead Thickness Dimension 0.015 0.020 0.38 0.51
Fl, F2 Component Lead Pitch 0.0945 0.110 2.4 2.8
H Bottom of Component to Seating Plane .059 .156 1.5 4.0
HI Feedhole Location 0.3346 0.3741 8.5 9.5
H2A Deflection Left or Right 0 0.039 0 1.0
H2B Deflection Front or Rear 0 0.051 0 1.0
H4 Feedhole to Bottom of Component 0.7086 0.768 18 19.5
H5 Feedhole to Seating Plane 0.610 0.649 15.5 16.5
L Defective Unit Clipped Dimension 0.3346 0.433 8.5 11
Ll Lead Wire Enclosure 0.09842 - 2.5 -
P Feedhole Pitch 0.4921 0.5079 12.5 12.9
PI Feedhole Center to Center Lead 0.2342 0.2658 5.95 6.75
P2 First Lead Spacing Dimension 0.1397 0.1556 3.55 3.95
T Adhesive Tape Thickness 0.06 0.08 0.15 0.20
Tl Overall Taped Package Thickness - 0.0567 - 1.44
T2 Carrier Strip Thickness 0.014 0.027 0.35 0.65
W Carrier Strip Width 0.6889 0.7481 17.5 19
WI Adhesive Tape Width 0.2165 0.2841 5.5 6.3
W2 Adhesive Tape Position .0059 0.01968 .15 0.5
NOTES:
1. Maximum alignment deviation between leads not to be greater than 0.2 mm.
2. Defective components shall be clipped from the carrier tape such that the remaining protrusion (L) does not exceed a maximum of 11 mm.
3. Component lead to tape adhesion must meet the pull test requirements established in Figures 5, 6 and 7.
4. Maximum non-<:umulative variation between tape feed holes shall not exceed 1 mm in 20 pijches.
5. Holddown tape not to extend beyond the edge(s) of carrier tape and there shall be no exposure of adhesive.
6. No more than 1 consecutive missing component is permitted.
7. A tape trailer and leader, having at least three feed holes is required before the first and after the last component.
8. Splices will not interfere with the sprocket feed holes.

Tape and Reel Specifications 5.12-8 Motorola Master Selection Guide


TO-92 EIA RADIAL TAPE IN FAN FOLD BOX OR ON REEL
FAN FOLD BOX STYLES

ADHESIVE TAPE ON ADHESIVE TAPE ON


TOPSIDE TOPSIDE

Style Mfan fold box is equivalent to styles E and Style P fan fold box is equivalent to styles A and
Fof reel pack dependent on feed orientation from B of reel pack dependent on feed orientation from
box. box.
Figure 2. Style M Figure 3. Style P Figure 4. Fan Fold Box Dimensions

ADHESION PULL TESTS

500 GRAM PULL FORCE

100 GRAM
PULL FORCE
16mm

-+=lI=====I-- ~
HOLDING
(r '\. \
FIXTURE
~ I HOLDING
\I FIXTURE
I
There shall be no deviation in the leads and
no component leads shall be pulled free of
The component shall not pull free with a 300 gram The component shall not pull free with a 70 gram the tape with a 500 gram load applied to the
load applied to the leads for 3 ± 1 second. load applied to the leads for 3 ± 1 second. component body for 3 ± 1 second.

Figure 5. Test #1 Figure 6. Test #2 Figure 7. Test #3

Motorola Master Selection Guide 5.12-9 Tape and Reel Specifications


TO-92 EIA RADIAL TAPE IN FAN FOLD BOX OR ON REEL
REEL STYLES

ARBOR HOLE DIA.


30.Smm ± O.25mm

MARKING NOTE

RECESS DEPTH
9.SmmMIN ~

ts --1FT
365mm + 3, - Omm
~IT HUB RECESS
76.2mm ± lmm

:.~ 1:1 ~
361mm±lmm

=r
Material used must not cause deterioration of components or degrade lead solderability

Figure 8. Reel Specifications

ADHESIVE TAPE ON REVERSE

Rounded side of transistor and adhesive tape visible. Flat side of transistor and carrier strip visible
(adhesive tape on reverse side).

Figure 9. Style A Figure 10. Style B

ADHESIVE TAPE ON REVERSE

Flat side of transistor and adhesive tape visible. Rounded side of transistor and carrier strip visible
(adhesive tape on reverse side).
Figure 11. Style E Figure 12. Style F

Tape and Reel Specifications 5.12-10 Motorola Master Selection Guide


Product Literature
and Technical Training

In Brief ...
With the pace of new semiconductor product Page
introductions, the task of providing an effective and Technical Data Services ......................... 6.1-1
up-to-date perspective of available components is beyond Motorola Semiconductor Master Selection Guide ... 6.1-1
the means of any single document. Hence, a Semiconductor Data Update Magazine ......... 6.1-1
comprehensive Motorola Literature System has been put in Mfax- Touch-Tone Fax ..................... 6.1-1
place to keep semiconductor users totally informed of all Internet Server .............................. 6.1-1
aspects of the Motorola product lines - from new product Motorola Data and Application Literature. . . . . . . . . .. 6.1-2
introductions, to applications, to major changes in directions. Motorola Application Literature. . . . . . . . . . . . . . . .. 6.1-6
The Motorola technical literature library and associated Technical Training .............................. 6.1-7
services consist of the following:
• An extensive library of Data Books, each containing a
complete selection of data sheets associated with a
particular product line.
• A series of User's Manuals and Design Manuals dealing
with the application of highly complex products.
• A wide range of Application Notes and Article Reprints
detailing the utilization of new and significant products.
• Instructor-led Training for: Digital Signal Processing
(DSP) Family; M68000 Family; Embedded Controllers
(EC); MC68360 QUIC; PowerPC; Microcontroller
(MCU); RISC Family; plus the MC68302, MC68332,
MC68340 and the MC68HC16.
These products and services are described on the
following pages. However, because of different conditions
and standards, some of these may not be available outside
the USA.

Motorola Master Selection Guide 6.0-1 Product Uterature and Technical Training
Product Literature and Technical Training 6.0-2 Motorola Master Selection Guide
Technical Data Services
Motorola Semiconductor Mfax - Touch-Tone Fax
Mfaxoffers access to over 30,000 Motorola documents for
Master Selection Guide faxing to customers worldwide. With menus and voice
For the identification and preliminary selection of instruction, customers can request the documents needed
components for circuit and system designs using their own touch-tone telephones from any location 7
days a week and 24 hours a day.
For the design engineer, the Motorola Master Selection A number of features are offered within the Mfax system,
Guide is perhaps the most important single document for the including HOT DOCS (4-digit code identifiers for currently
identification and preliminary selection of components for referenced promotional or advertising material), product data
circuit and system designs. Within its pages is a complete sheets, application notes, engiineering bulletins, article
listing and description of Motorola semiconductor devices reprints, selector guides, Literature Order Forms, and
currently in general use, and those recommended for new Technical Training Information.
designs. It serves two purposes: Motorola has a full time staff dedicated to supporting the
1. It lists all standard products in the vast Motorola semicon- Internet service as well as the Mfax Touch-Tone Faxing
. ductor inventory for rapid identification. service.
2. It divides this total product offering into a variety of major
product categories, with sufficient technical information to
How to reach us:
permit an intelligent first-order evaluation as to the most
MFAX: RMFAXO@email.sps.com
suitable devices for a specific application.
or (602) 244-6609

Semiconductor Data
Update Magazine Motorola SPS World Marketing
Innovative new developments from Motorola's Internet Server
Semiconductor Products Sector
Motorola SPS's Electronic Data Delivery organization has
This highly informative periodical is available to all semicon- set up a World Wide Web Server to deliver Motorola SPS's
ductor users on a free subscription basis. The magazine technical data to the global Internet community.
provides information on new semiconductor products and Technical data such as the complete Master Selection
developments and provides a quick-scan insight into new- Guide along with the OEM North American price book are
product offerings. Concise, informative articles discuss signifi- available on the Internet server with full search capabilities.
cant new product capabilities as well as newly introduced Other data on the server include abstracts of databooks,
services. In short, it represents an overview of the latest and application notes, selector guides, and textbooks. All have
most important events at Motorola that influence the efficient easy text search capability. Ordering Literature from the
implementation and most cost-effective use of semiconductor Literature Distribution Center is available on line.
devices. Other features of Motorola SPS's Internet server include the
To receive Update Magazine, in the USA, please contact the availability of a searchable press release database, technical
Literature Distribution Center by calling 1-800-441-2447. training information with on-line registration capabilities,
complete on-line access to the MFAX system for ordering
faxes, an on-line technical support form to send technical
questions and receive answers through email, information on
product groups, full search capabilities of device models, a
listing of the Domestic and International sales offices, and
links directly to other Motorola world wide web servers.
After accessing the Internet, to locate the Motorola SPS
World Marketing server, use the following URL:

http://Design-NET.com

For more information on Motorola SPS's Internet server you


can request BR1307/D from MFAX or request a copy from
Literature Distribution Center by calling 1-800-441-2447.

Motorola Master Selection Guide 6.1-1 Product Lijerature and Technical Training
Motorola Data and Application Literature
Complete technical data for the world's most universities, and from the industry, add their individual
comprehensive inventory of semiconductor components contributions to the collective literature. From these, Motorola
To complement the industry's broadest line of has selected a number of texts which add substantially to the
semiconductor products, Motorola offers a complete library of comprehension and applications of some of the more complex
Data books which detail the electrical characteristics of its products. By buying these in large quantities and providing
products. These documents are supplemented by User's them to customers at lower than retail cost, Motorola hopes to
Manuals describing the capabilities of the products in circuit foster a more comprehensive acquaintance with these
and system design. products at greatly reduced prices.
Motorola attempts to fill the need for applications For complete summaries and prices, order BR10l/D from
information concerning today's highly complex electronic the Literature Distribution Center.
components. Each year dozens of authors from colleges and

Data Books and Handbooks Selector Guides & Application


BR13301D, ECLinPS Lite Single Gate ECL Devices, Literature (continued)
Translators and PLL Support Products
BR13331D, Timing Solutions BRll00/D, Microprocessor and Memory Technologies
BR13341D, High Performance Frequency Control Products Group: Reliability and Quality Report
DL110/0, RF Device Data BRlll21D, M68HC05 & M68HC08 Family Customer
DL111/0, Bipolar. Power Transistor Data Specified Integrated Circuit (CSIC) Microcontroller Unit
DL11810, Optoelectronics Device Data (MCU) Literature
DL121ID, FAST and LS TTL Data BR11331D, HIPPO: High-Performance Internal Product
DL1221D, MECL Device Data Portfolio Overview
DL1261D, Small-Signal Transistors, FETs and Diodes BRl137/D, The Motorola Explorer's Guide to the World of
Device Data Embedded Control Solutions
DL12810, Linear and Interface Integrated Circuits BRl1381D, 68HC08 -Innovate, Migrate, Accelerate
DL129ID, High Speed CMOS Data BRl1431D, Fast Static RAM Cross Reference Guide
DL131/D, CMOS Logic Data BR1202lD, Motorola Quality System Review Guidelines
DL135ID, TMOS Power MOSFET Transistor Data BR1306/D, CATS - Customer Analysis Tracking System
DL1361D, Communications Device Data BR1400/D, OACS (ASIC) - Open Architecture CAD System
DL137ID, Thyristor Device Data BRl437/D, Multichip Module Solutions
DL1381O, FACT Data CALCPSTGlD, Communications, Power and Signal
DL14010, High Performance ECL Data - ECLin PS and Technologies Group: New Product Calendar
ECLinPS Lite CMRQSlD, CSIC Microcontrollers: Reliability and Quality
DL1501D, TVS/Zener Device Data Monitor Report
DL151/0, Rectifier Device Data CR100/D, Communications, Power and Signal
DL15510, Dynamic RAMs & Memory Modules Data Technologies Group: Through-Hole to Surface Mount Cross
DL15610, Fast Static RAM - Component and Module Data Reference
DL1581D, Multimedia Device Data CR103/D, Transient Voltage Suppressors, General
DL159/0, LonWorks Technology Device Data Instruments Cross Reference
DL2OO1D, Pressure Sensor Device Data CR104/D, Generallnstrument-to-Motorola Optoelectronics
DL201/D, FPGA Data: Field Programmable Gate Arrays Cross Reference
DL408/D, 8-bit MCU Applications Manual
Selector Guides & Application DL409/D, 16/32-bit Applications Manual
DL410/D, Power Applications Manual
Literature DL411/D, Communications Applications Manual
AJ100ID, Discrete Proceedings - The Journal of CPSTG DL4121D, Industrial Control Applications Manual
Strategic Marketing DL4131D, Radio, RF and Video Applications Manual
BR1281D, Semiconductor Data 'Update' Magazine DL4141D, FET Applications Manual
BR1351D, Applications Literature Catalog DMRQSlD, Microcontroller Technologies Group, DSP
BR5181D, Reliability & Quality Handbook Division: Reliability and Quality Monitor Report
BR7241D, 880pen Sourcebook DSPNEWSUD, DSP News
BR729/D, Motorola 68K Source - Third Party Vendor HB205/D, MECL System Design Handbook
Catalog HB2141D, Rectifier Applications Handbook
BR9161D, Packaging Manual for ASIC Arrays HB215/D, RF Application Reports
BR9231D, Communications, Power & Signal Technologies MRQSlD, Advanced Microcontroller Division: Reliability and
Group - Reliability Audit Report Quality Monitor Report

Product Literature and Technical Training 6.1-2 Motorola Master Selection Guide
Motorola Data and Application Literature: (continued)

Selector Guides & Application User's Manuals (continued)


Literature (continued)
DSP56KFAMUM/AD, DSP56000 Digital Signal Processor
SG461D, RF Products Selector Guide & Cross Reference
Family Manual
SG731D, Master Selection Guide
DSP56000UMlAD, DSP56000/DSP56001 Digital Signal
SG961D, Analog/Interface ICs Selector Guide & Cross
Processor User's Manual
Reference
DSP56002UMlAD, DSP56002 Digital Signal Processor
SG140/D, SCANSWITCH Selector Guide User's Manual
SG146ID, Digital Signal Processors Update
DSP56003UMlAD, DSP56003/005 Digital Signal Processor
SG1621O, Sensor Products Division User's Manual
SG165/D, CSIC Microcontrollers Update DSP56004UMlAD, DSP56004 Digital Signal Processor
SG1661D, Advanced Microcontroller Division Update
User's Manual
SG167ID, High Performance Embedded Systems Fact
DSP56100FM/AD, DSP56100 Digital Signal Processor
Sheet
Family Manual
SG169ID, Mixed Signal Solutions from MOS Digital-Analog
DSP56156UMlAD, DSP56156 Digital Signal Processor
Integrated Circuits Division
User's Manual
SG171ID, Fast Static RAM Product Update
DSP56166UMlAD, DSP56166 Digital Signal Processor
SG1721O, Dynamic Memory Update User's Manual
SG1731D, CSIC Microcontrollers: Modular Development
DSP56300FM/AD, DSP56300 24-Bit Digital Signal
Tools
Processor Family Manual
SG1751D, RISC Microprocessor Division: The PowerPC
DSP56301 UMlAD, DSP56301 24-Bit Digital Signal
Microprocessor Family
Processor User's Manual
SG2651D, Power MOSFETs Product Update
DSP96002UMlAD, DSP960021EEE Floating-Point
SG2661D, Bipolar Power Transistors Product Update
Dual-Port Processor User's Manual
SG267ID, Rectifier Product Update
GPTRM/AD, Modular Microcontroller Family General
SG2681D, Thyristor Pr9duct Update
Purpose Timer Reference Manual
SG271/D, D2pAK Surface Mount Selector Guide
H4CDM/D, H4C Series Design Reference Guide
SG2731D, Optoelectronic Operations Selector Guide
H4CPDMID, H4CPlus Series Design Reference Guide
SG2741D, Zener Operations Selector Guide
HC711D3PGMRlAD1, M68HC711D3PGMR Programmer
SG2751D, Small-Signal Operations: Surface Mount
Board User's Manual
Packages
HDCDMID, HDC Series Design Reference Guide
SG365/D, Timing Soutions Selector Guide
LONUGlAD, LonBuilder User's Guide
SG367/D, High-Performance Gate Arrays
LP2IO, Portable Power: The Competitive Edge of the
SG370/D, Discrete Surface Mount Selector Guide
68HC11 - Low Power Design Guidebook
SG3721D, Hard Disk Drive Products - Quick Reference,
M5CDMlD, M5C Series Design Reference Guide
November
M68CPU32BUGlD, CPU32BUG Debug Monitor User's
SG375ID, Silicon Solutions for Motion Control
Manual
SG417ID, Semiconductor Products for Wireless
M68HC05AGlAD, M68HC05 Applications Guide
Communications
M68HC08RGlAD, HC08 Family Reference Guide
SG4221O, PowerPC Microprocessors Product Overview
M68HC11EVB/D1, M68HC11EVB Evaluation Board User's
SG423/D, TIGER: The Integrated Guide to European RAMs
Manual
SG4241D, EAGLES: European Analog Guide for Leading &
M68HC11 EVBUlAD2, M68HC11 EVBU Universal
Emerging Systems
Evaluation Board User's Manual
SG425ID, Lamp Ballast Selector Guide
M68HC11 EVM/AD8, M68HC11EVM Evaluation Module
SG426/D, DINO: Discrete Innovation News Overview
User's Manual
M68HC11RMlAD, M68HC11 Reference Manual
User's Manuals M68PCBUG11ID2, M68HC11 PCbug11 User's Manual
ADCRMlAD, Analog-to-Digital Converter Reference M68PRMID, M6800 Programming Reference Manual
Manual M6809PM/AD, MC6809-MC6809E Microprocessor
CPU08RMlAD, M68HC08 Central Processor Unit Programming Manual (1981)
Reference Manual M68000PMlAD, M68000 Family Programmer's Reference
CPU16RMlAD, M68HC16 Family Reference Manual Manual
CPU32RMlAD, CPU32 Central Processor Unit Reference M68000UM/AD, M68000 8-/16-/32-bit Microprocessors
Manual User's Manual, Ninth Edition
CTMRMID, Configurable Timer Module Reference Manual M68020UM/AD, MC68020/MC68EC020 Microprocessors
DLE404ID, M6804 MCU Manual User's Manual

Motorola Master Selection Guide 6.1-3 Product Literature and Technical Training
Motorola Data and Application Literature: (continued)

User's Manuals (continued) MC68340UMlAD, MC68340 Integrated Processor User's


Manual
M68040UMlAD, MC68040, MC68040V, MC68LC040, MC68341UMlAD, MC68341 Integrated Processor User's
MC68EC040, MC68EC040V Microprocessors User's Manual .
Manual MC68349UMlAD, MC68349 High Performance Integrated
M68060UMlAD, MC68060, MC68LC060, MC68EC060 Processor User's Manual
Microprocessors User's Manual MC68356UMlAD, MC68356 Signal Processing
M68332EVKEMlAD1, M68332EVK Evaluation Kit Exercise Communications Engine User's Manual
Manual MC68360UMlAD, MC68360 Quad Integrated
MC68EC030UM/AD, MC68EC030 32-bit Embedded Communications Controller User's Manual
Controller User's Manual MC68488UM/AD, MC68488 General Purpose Interface
MC68F333UMlAD, MC68F333 User's Manual Adapter User's Manual
MC68HC05CxRGlAD, MC68HC05Cx HCMOS Single-Chip MC68605UM/AD, MC68605 X.25 Protocol Controller User's
Microcontrollers Programming Reference Guide Manual
MC68HC11A8RGlAD, MC68HC11A8 Programming MC68606UM/AD, MC68606 Multi-Link LAPD Protocol
Reference Guide Controller User's Manual
MC68HC11CORGlAD, MC68HC11CO Programming MC68824UM/AD, MC68824 Token Bus Products User's
Reference Guide Manual
MC68HC11 D3RGlAD, MC68HC11 D3/MC68HC711 03 MC68836UM/AD, MC68836 FOOl User's Manual
Programming Reference Guide MC68837UMlAD, MC68837 FOOl User's Manual
MC68HC11ERGlAD, MC68HC11E Programming MC68838UM/AD, MC68838 FOOl User's Manual
Reference Guide MC68839UMlAD, MC68839 FOOl System Interface User's
MC68HC11 F1 RGlAD, MC68HC11 F1 Programming Manual
Reference Guide MC68840UMlAD, MC68840 Integrated Fiber Distributed
MC68HC11 K4RGlAD, MC68HC11 K4IMC68HC711 K4 Data Interface User's Manual
Programming Reference Guide MC68847UMlAD, MC68847 Quad ELM FOOl User's
MC68HC11 KA4RGlAD, MC68HC11 KA4/MC68HC711 KA4 Manual
Programming Reference Guide MC68851UMlAD, MC68851 Paged Memory Management
MC68HC11 L6RGlAD, MC68HCL6/MC68HC711 L6 Unit User's Manual, second edition •
Programming Reference Guide MC68881UMlAD, MC68881/MC68882 Floating-Point
MC68HC11MRGlAD, M68HC11 M Series Programming Coprocessor User's Manual, second edition
Reference Guide MC88100UM/AD, MC88100 RISC Microprocessor User's
MC68HC11NRGlAD, MC68HC11 N Series Programming Manual
Reference Guide MC881101410DH/AD, MC8811O/MC88410 Designer's
MC68HC16Y1UMlAD, MC68HC16Y1 User's Manual Handbook
MC68HC16Z1UM/AD, MC68HC16Z1 User's Manual MC88110UM/AD, MC88110 Second Generation RISC
MC68HC16Z2UM/AD, MC68HC16Z2 User's Manual Microprocessor User's Manual
MC68MH360RM/AD, MC68MH360 QUICC32 Quad MC88200UMlAD, MC88200 Cache/Memory Management
Integrated Multichannel Controller Reference Manual Unit User's Manual
MC68030UMlAD, MC68030 Enhanced 32-bit MPU User's MC88410UMlAD, MC88410 Secondary Cache Controller
Manual, third edition User's Manual
MC68040DH/AD, MC68040 Designer's Handbook MC92005UMlD, MC92005 SBus Slave Interface Controller
MC68302UMlAD, MC68302 Integrated Multiprotocol User's Manual
Processor User's Manual MCCIRM/AD, Multichannel Communication Interface
MC68306UMlAD, MC68306 Integrated ECOOO Processor Reference Manual
User's Manual MCF5102UM/AD, MCF5102 ColdFire User's Manual
MC68307UM/AD, MC68307 Integrated Multiple-Bus MCF5200PRMlAD, Cold Fire Programmer's Reference
Processor User's Manual Manual
MC68322UM/AD, Bandit: MC68322 Integrated Printer MCUDEVTLDIRlD, Motorola Microcontroller Development
Processor User's Manual Tools Directory
MC68328UMlAD, MC68328 (Dragonball) Integrated MPCFPElAD, PowerPC Microprocessor Family: The
Processor User's Manual Programming Environments
MC68330UMlAD, MC68330 Integrated CPU32 Processor MPCTOOLBKlAD, PowerPC Tools - Development Tools
Users Manual for PowerPC Microprocessors
MC68331UMlAD, MC68331 User's Manual MPC105UMlAD, PowerPC PCI Bridge/Memory Controller
MC68332UMlAD, MC68332 User's Manual User's Manual

Product Literature and Technical Training 6.1-4 Motorola Master Selection Guide
Motorola Data and Application Literature: (continued)

User's Manuals (continued) Textbooks (continued)

MPC601UM/AO, PowerPC 601 - RISC Microprocessor TB324/0, Real Time Digital Signal Processing Applications
User's Manual with Motorola's DSP56000 Family
MPC603eUM/AO, PowerPC 603e RISC Microprocessor TB326/0, Radio Frequency Transistors: Principles and
User's Manual Practical Applications
MPC604UM/AO, PowerPC 604 RISC Microprocessor TB328/0, Programming Microcontrollers in C
User's Manual TB329/0, Sensor Technology and Devices
QSMRM/AO, Queued Serial Module Reference Manual TB330/0, PowerPC Computing
RCPURMlAO, MPC500 Family: RCPU Reference Manual TB331/0, Power Supply Cookbook
SCIMRM/AO, Single-Chip Integration Module Reference TB33210, Digital Signal Processing Using the Motorola
Manual DSP Family
SIMRM/AO, System Integration Module Reference Manual TB333/0, Signal Processing, Image Processing and
SIURM/AO, MPC500 Family: System Integration Unit Graphics Applications with Motorola's DSP96002
Reference Manual Processor. Volume I: Signal Processing
TIM08RM/AO, TIM08 Timer Interface Module Reference TB334/0, Signal Processing, Image Processing and
Manual Graphics Applications with Motorola's DSP96002
TPURM/AO, M68300 Family Time Processor Unit Processor. Volume II: Image Processing and Graphics
Reference Manual Applications
TB335/0, The PowerPC Architecture: A Specification for a
Textbooks New Family of RISC Processors
TB336/0, Automotive Electronics Handbook
T8301/0, Basic Microprocessors and the 6800
TB337/0, PowerPC Programming for Intel Programmers
T8304/0, Pascal Programming Structures for Motorola
Microprocessors Technical Data Services
T8309/0, Programming the 6809
BR1307/0, Motorola SPS World Marketing Internet Server
TB31210, Introduction to Integrated Circuit Layout
OK105/0, Scattering Parameter Library
T831810, Microprocessor Systems Design: 68000
Hardware, Software and Interfacing OK106/0, Scattering Parameter Plotting Utility
OK107/0, Impedance Matching Program
T8321/0, Practical Switching Power Supply Design
SG73/0, Master Selection Guide
TB323/0, The 68000 Book
SEMIVIO/O, Basic Semiconductor Videos
Dr. BuB, DSP Electronic Bulletin Board Freeware Line,
Microcontroller Electronic Bulletin Board

Motorola Master Selection Guide 6.1-5 Product Literature and Technical Training
Motorola Application Literature
Semiconductors in theory and practice

Application Notes, Engineering Bulletins and Article engineering bulletins and article reprints can also be ordered
Reprints are part of a total information system to define the from our Literature Distribution Center.
characteristics and applications of semiconductor devices. Contact the Literature Distribution Center for prices and
Motorola's library consists of more than 300 such documents ordering information. In addition, there may be an alternative
dealing with the applications of all types of semiconductors document available in some countries, contact your local
from discrete power transistors to the most complex Motorola Sales Office.
microprocessors. All are described in an Application Note For complete summaries and prices: order BR135/D from
Catalog available from our Literature Distribution Center. the Literature Distribution Center.
Individual application notes, application reports,

DL408/D 8-bit MCU Applications Manual DL412/D Industrial Control Applications Manual
DL409/D 16/32-bit Applications Manual DL413/0 Radio, RF and Video Applications Manual
DL411/D Communications Applications Manual DL414/D FET Applications Manual

Product Literature and Technical Training 6.1-6 Motorola Master Selection Guide
Motorola Technical Training Courses
Registration & Tuition
How to register for open enrollment MOTOROLA COURSE PRICING
courses For North American class pricing contact the registrar at:
To enroll in a Motorola Technical Training course, please call
the registrar at (602) 302-8008 from 7:00 a.m to 4:00 p.m., - (602) 302-8008.
MST, Monday through Friday. If you prefer, refer to page
7.1-10 for alternative ways to register.
For international training please contact local regional office or
For Ascent Technology offered courses call their registrar at one of the following training departments:
1-800-410-3601.
- Munich, Germany, (49)-89-92103571
- Velizy Villacoublay Cedex, France, (33)-1-34635894
For Arnewsh Inc. offered courses call their business number
- Aylesbury, United Kingdom, (44)-1296-380304
at (970) 223-1616.

Plan early as classes fill up rapidly and space is limited.


TRAINING PARTNER COURSE
PRICING
Closed courses
Courses listed can be taught at you r facility and can be tailored Please contact company directly for independent priCing
information:
to fit your needs.
- AscentTechnologies, 1-800-410-3601
- Arnewsh, Inc., (970) 223-1616
Method of payment for Motorola courses
• Customers paying by check or purchase order, please
make payable to Motorola and mail to: ATTN: Technical
Confirmation
Training, 432 N. 44th Street, Suite 200, Phoenix, AZ A written notice confirming your enrollment will be sent to you
85008. prior to the class. If you have not received confirmation one
• For your convenience Motorola Technical Training now week prior to the class, call our registrar at (602) 302-8008 for
accepts credit card payments; VISA, MasterCard or Motorola courses. Call Arnewsh or Ascent Technologies
American Express. directly for independent confirmations.
• Motorola employee's department number will be internally
charged.

To ensure a reserved space, payment is required two weeks


prior to class start date. No refund will be given once class
begins; however, the tuition may be applied to a future class.
Note: Please contact Ascent Technology or Arnewsh, Inc.
directly for their independent payment policy.

Motorola Master Selection Guide 6.1-7 Product Literature and Technical Training
Motorola Technical Training Courses (continued)

PowerPCTM 6xx Microprocessor MC68HC16 Microcontroller


Description: The MPC6xx is primarily targeted for the Description: In this course the MC68HC16Z1 and
desktop marketplace. The PowerPC MPC6xx course details MC68HC16Y1 are covered. In this class the student learns to
all publicly announced MPC6xx implementations such as the design wnh the MC68HC16. The CPU16, general purpose timer,
MPC601, MPC602, MPC603 and MPC604. This course and analog-to--dignal converter are common to both versions.
contains lecture, labs and exercises. The MC68HC16Z1 includes the system integration module,
Prerequisites: The student must have advanced queued serial module, and standby RAM, while the
microprocessor and assembly language knowledge. An MC68HC16Y1 includes the single-chip integration module,
understanding of memory management, mu~t-channel communications interface, timer processor unit,
multi-processing/master, and cache concepts is also and standby RAM with TPU eml,Jlation. Lecture, labs and
beneficial. exercises are a major part of the leaming process for this course.
Prerequisites: Knowledge of microprocessor fundamentals.
Previous experience with enher MC68HCOS or MC68HC11 is
MPC505 PowerPCTM Microcontroller helpful. Students win be sent a self-study packet upon enrollment.
Description: In this course the student learns to design with The pre--work must be completed prior to the course start date.
the embedded PowerPC core, system integration unit (SIU),
and associated components of the MPC505. The course
TPU Microcode
consists of lecture and exercises.
Prerequisites: The student must have advanced Description: The TPU Microcode course is a lab-intensive
microprocessor and assembly language knowledge. course in which the student leams how to write microcode
PowerPC experience is not required. functions for the TPU. The course is approximately 50% lecture
and exercises and 50% lab time.
Prerequisite: The student must have advanced microprocessor
DSP5600x Family Microprocessor experience.
Description: In this course the student (with digital signal
processing design experience) learns to design with the MC68356 Signal Processing
DSP5600x digital signal processor. The course consists of
lecture, labs, and exercises. Communication Engine
Prerequisites: The student must have knowledge of at least Description: In this course the student leams to design and write
one microprocessor and its assembly language. A prior programs for the various chip submodules. This includes the
understanding of digital signal processing theory is important MC68OOOIMC68008 static core, communication processor (CP),
for those whose applications are DSP oriented. system integration block (SIB), and 56002 digital signal processor.
Labs are a major part of the leaming process; lecture and
exercises are also a part of the course.
DSP561xx Family Microprocessor
Prerequisites: To benefit most from the course, a SNJ and HIW
Description: In this course the student (with digital signal understanding of the MC68000 microprocessor is a requirement.
processing design experience) learns to design with the Also, some knowledge of the DSP56002 instructions and
DSP561xx digital signal processor. The course consists of addressing modes will be helpful. Students who need to meet
lecture and exercises. these requirements will receive a 68000 and/or 56000 pre--work
Prerequisites: The student must have knowledge of at least packet. We highly encourage students to complete the pre-WOrk
one microprocessor and its assembly language. A prior packet before coming to class.
understanding of digital signal processing theory is important
for those whose applications are DSP oriented.
MC68360 QUICC-QUad Integrated
Communication Controller
MC68HC08 Microcontroller
Description: In this course the student learns to design and write
Description: In this course the student learns to design with programs for the various chip submodules. This includes the
the MC68HC08 including the on-chip subsystems. Lecture, CPU32+ core, communication processor module (CPM) and
labs, and exercises are a major part of the learning process for system integration module (SIM60). Labs are a major part of the
this course. learning process; lecture and exercises are also a part of the
Prerequisites: Knowledge of microprocessor fundamentals. course.
Previous experience with either MC68HC05 or MC68HC11 is Prerequisites: To benefit most from the course, a SNJ and HIW
helpful. Students will be sent a self-study packet upon understanding of the MC68000 microprocessor is a requirement.
enrollment. The pre-work must be completed prior to the Students who need to meet these requirements will receive a
course start date. 68000 pre-work packet. We highly encourage students to
complete the pre-work packet before coming to class.

Product Literature and Technical Training 6.1--8 Motorola Master Selection Guide
Motorola Technical Training Courses (continued)

Ascent Technologies Course Information


M icrocontrollers
the computer operating properly (COP) watchdog timer. Many
application examples are included.
MC68HC05 Microcontroller Family
Prerequisites: Students should have a basic understanding
Description: This is an introduction to the MC68HC05 of embedded system operations and their target application.
microcontroller family, covering the major features of this
cost-effective microcontroller. Students will understand how
to program and apply all the major subsystems of the MC68332 Embedded Controller
MC68HC05 including discrete I/O, timer functions, serial Description: This is an intensive introduction to the MC68332
communication interfaces and analog to digital conversion. embedded controller family. Students will understand how to
Many application examples are included. program and apply all the major subsystems of the 68332,
Prerequisites: No familiarity with microcontrollers is including discrete I/O, timer functions, serial communication
assumed. Some familiarity with binary and hexadecimal interfaces, analog to digital conversion, computer operating
numbering systems as well as fundamental electronic theory properly (COP) watchdog timer. Many application examples
is helpful. are included.
Prerequisites: Students should have a basic understanding
MC68HC11 Microcontroller Family of embedded system operations and their target application.
Description: This is an introduction to the MC68HC11
Ascent Technologies, an embedded systems training and
microcontroller family, covering the major features of this
engineering services company, is located at 525 Avis Drive,
industry-standard microcontroller. Students will understand
Suite 15, Ann Arbor, MI481 08. For a current course schedule,
how to program and apply all the major subsystems of the
course pricing, to enroll in a course, or to schedule a course
MC68HC11 including discrete I/O, timer functions, serial
at your location, please call1-80Q--410-3601.
communication interfaces, analog to digital conversion, and

Motorola Master Selection Guide 6.1-9 Product Literature and Technical Training
Motorola Technical Training Courses (continued)

Arnewsh, Inc. Course Information


Microprocessors
MC68EC/000 Microprocessor MC6834x Family Integrated Processor
('330, '340, '341, '349)
Description: This course covers both the software and
hardware aspects of the MC68EC/000 processor. The course Description: In this course the students will learn to design
will cover programming model, data types, instruction set, with the CPU32/CPU32+, DMA channels, timers, serial I/O
addressing modes, exception processing, signal function and modules, and system integration module. The course consists
characteristics. of lecture, exercises, and labs.
Prerequisites: A basic understanding of microprocessor Prerequisites: Students need the software and hardware
systems, digital logic and memory concepts is required. understanding of the M68K processor family.

MC68EC/000 Family Programming DSP96002 Microprocessor


Description: This course prepares the student for designing
Description: This course presents the software functionality systems which include the DSP96002.
of all the MC68/ECOxO microprocessors. The course covers Prerequisites: This course assumes no prior knowledge of
the programming model, data types, instruction set, the DSP56001 device.
addressing modes, exception processing, and an overview of
the caches and memory management unit in 020/030/040.
The course consists of lecture, exercises, and labs.
Prerequisites: A basic understanding of microprocessor
CUSTOMIZED COURSES
systems and assembly language is required. Arnewsh, Inc. can customize these courses for presentation
at your location. For scheduling and pricing information please
contact Arnewsh, Inc. (970) 223-1616.
MC68EC/040/060 Microprocessors
Description: This course covers all the hardware and system
aspects of both the MC68040 and MC68060 members. The SINGLE BOARD COMPUTER
first one and a half days is used to cover the MC68040 and the SUPPLIER
common issues of the MC68060. The last half day is used to
point out MC68060 differences and the new features. Arnewsh, Inc. is also the supplier of a number of single board
Prerequisites: Students should have complete familiarity computer/evaluation boards which are used in Motorola lab
with the software aspects of the M68K family. Students who based courses in which students are able to apply hands-on
also need the software and programming background may experience to their learning process. These boards include:
attend the M68K family programming course offered in the SBC68K, MC68000 based board
same week. SBC302, MC68302 based board
SBC306, MC68306 based board
SBC360/SBC360EC, MC68360 (and 040) based boards
MC68302 Integrated Multiprotocol UDLP1, Universal Design Lab Platform
Processor
For information write, call or fax to:
Description: In this course the students learn to design and Arnewsh, Inc.
write programs for the various chip submodules. This includes P.O. Box 270352
the 68000 core, communication processor (CP) and system Fort Collins, CO 80527--0352
integration block (SIB). The course consists of lecture, Phone: (970) 223-1616
exercises and labs. Fax: (970) 223-9573
Prerequisites: Students need the software and hardware
understanding of the MC68000 processor.

Product Literature and Technical Training 6.1-10 Motorola Master Selection Guide
Motorola Technical Training Courses (continued)

Call 602-302-8008 for the latest copy


of our Technical Training Catalog and class schedule.
If you are outside of the USA, call your local Technical Training Center
or Sales Office and ask for BR348/D.

Technical Training Centers


Regional Training Centers International Training Centers
Detroit Phoenix Munich, Germany (49)-89-92103571
41700 Six Mile Road 432 N 44th Street Velizy Villacoublay Cedex, France (33)-1-34635894
Nothville, MI 48167 Phoenix, AZ 85008 Aylesbury, United Kingdom (44)-1296-380304
(313) 347-6800 (602) 302-8008

Provide the following information when registering:


You can also register by:
Email: R17994@email.sps.mot.com
Internet WWWeb, URL: http://Design-NET.com

MOTOROLA
Technical Training. 432 North 44th Street. Suite 200 • Phoenix, AZ 85008
Voice: (602) 302-8008 • FAX: (602) 302-8025

ATTENTION: REGISTRAR

Contact's Name: _ _ _ _ _ _ _ _ _ _ _ _ _ _ __ Date Faxed: _ _ _ __

Contact's Phone Number: _ _ _ _ _ _ _ _ __ Fax Number: _ _ _ _ _ __

Company: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __

Street Address: _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __

Ci~: _ _ _ _ _ _ _ _ _ _ _ _ _ __ State: _ _ _ Zip: _ _ _ _ __

Course Name: _ _ _ _ _ _ _ _ __ Date: _ _ _ _ Location: _ _ _ __

Note: Payment is due no later than two weeks before class start date, either by purchase order,
check, or money order. If submitting a purchase order, please fax a copy with your registration to
(602) 302-8025.

1. _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __
Student Name(s) Address Phone Fax

"Mail Stop "SS# "Dept.# "Badge# "Sector#

"REQUIRED BY ALL MOTOROLA EMPLOYEES ONLY

Motorola Master Selection Guide 6.1-11 Product Literature and Technical Training
Product Literature and Technical Training 6.1-12 Motorola Master Selection Guide
Device Index and
Subject Index

In Brief.
Page
Device Index
Device Index ................................... 7.1-1
The following index lists the device numbers of the General Index .................................. 7.2-1
products contained in this selector guide and references the Subject Index .................................. 7.2-9
page number where each device is described in greater
detail.(1) The listing is in a numeric sequence organized in a
"computer sort." This means that all the devices listed herein
follow a 39 character alphabet. This "new" alphabet starts
with a Period, a Dash and a Slash (. - /), followed by the 26
letter alphabet (A thru Z), which is then followed by 10
numbers (0 thru 9).
The ranking or hierarchy of this 39 character alphabet is
as follows:
.-/ABC D E FG H IJ KLM NO PO RSTU VWX
YZ0123456789
Therefore, if you are looking for a device starting with a
letter of the alphabet like an MC1741CP, it would appear
before a device starting with a number, such as 2N1132.
To find a device in this index, start with the first character
of the device and find that section of the index; next move
to the second character in the device number, and move to
that character within the same portion of the listing; and so
on until the device number is found. In other words, it is
used just like a dictionary, character by character.
For example, to find the 2N6837, go to that section of the
listing that begins with the number "2" (Notice that the section
follows all devices that begin with a letter of the alphabet or
"1"). Next, find that portion of the listing that begins with "2N"
(Notice it follows those devices that begin with "2K"). Next,
find that portion of the listing that begins with "2N6" (Notice it
follows those devices that begin with "2N5"). Continue
looking for those portions that begin with the next consecutive
character until you have found the entire number.
Because of the way "Computer Sort" works it is not
necessary to be concerned with the absolute value or
number of characters in a part number, just move across the
device part number, left to right, one character at a time until (1) The device numbers contained in this index are for
you find the number. reference only and do not necessarily represent the complete
device number necessary to order the device. Contact your
Subject Index local Sales Office or Authorized Distributor for complete
This listing is intended to simplify the identification of ordering information.
products where specific device numbers are not known.

Motorola Master Selection Guide 7.0-1 Device Index and Subject Index
Device Index and Subject Index 7.0-2 Motorola Master Selection Guide
Device Index
Device Index Page Device Index Page Device Index Page
AM26LS30 ................... 4.6-7 BC639 ....................... 5.1-4 B0166 ....................... 5.5-9
AM26LS31 ................... 4.6-7 BC640 ....................... 5.1-4 B0169 ..................... " 5.5-9
AM26LS32 ................... 4.6-7 BC807-16LT1 ............... 5.1-10 B0179 ....................... 5.5-9
BAL99LT1 ................... 5.1-35 BC807-25LT1 ............... 5.1-11 B0180 .................... '" 5.5-9
BASl16LT1 .................. 5.1-36 BC807-40LT1 ............... 5.1-11 B0237 .................... '" 5.5-9
BAS16LT1 ................... 5.1-35 BC817-16LTl ............... 5.1-10 B0238 ........ . . . . . . . . . . . . . .. 5.5-9
BAS16WT1 .................. 5.1-35 BC817-25LTl ............... 5.1-10 B0241 B . .. .. .. . .. .. .. .. .. .... 5.5-3
BAS21LT1 ................... 5.1-35 BC817-40LT1 ............... 5.1-10 B0241 C . . . . . . . . . . . . . . . . . . . . .. 5.5-3
BAV170LT1 .................. 5.1-37 BC846ALT1 ................. 5.1-10 B0242B .. .. .. .. .. .. . . .. .. . . .. 5.5-3
BAV199LT1 .................. 5.1-37 BC846AWT1 ................. 5.1-11 B0242C . . . . . . . . . . . . . . . . . . . . .. 5.5-3
BAV70LT1 ................... 5.1-36 BC846BLT1 ................. 5.1-10 B0243B .. .. .. .. .. .. . . .. .. .... 5.5-4
BAV70WT1 .................. 5.1-36 BC846BWT1 ................ 5.1-11 B0243C .................... " 5.5-4
BAV74LT1 ................... 5.1-36 BC847ALT1 ................. 5.1-10 B0244B . . . . .. . . . . . . . . . . . . . . .. 5.5-4
BAV99LT1 ................... 5.1-36 BC847AWTl ................. 5.1-11 B0244C . . . . . . . . . . . . . . . . . . . . .. 5.5-4
BAW156LT1 ................. 5.1-37 BC847BLT1 ................. 5.1-10 B0249C .. .. .. . . .. .. . . .. .. . ... 5.5-7
BAW56LT1 .................. 5.1-36 BC847BWT1 ................ 5.1-11 B0250C ...................... 5.5-7
BAW56WT1 ................. 5.1-36 BC847CLT1 ................. 5.1-10 B0437 ........ . .. .. . . . .. .. ... 5.5-9
BCP53T1 ................... 5.1-15 BC847CWT1 ................ 5.1-11 B0438 ........ . .. .. . . .. .. .... 5.5-9
BCP56T1 ................... 5.1-15 BC848ALT1 ................. 5.1-10 B0440 ... .. .. .. . .. .. .. .. .. ... 5.5-9
BCP68T1 ................... 5.1-16 BC848AWTl ................. 5.1-11 B0441 ....................... 5.5-9
BCP69T1 ................... 5.1-16 BC848BLT1 ................. 5.1-10 B0442 .............. . . . . . . . .. 5.5-9
BC107 ...................... 5.1-17 BC848BWT1 ................ 5.1-11 B0677 .............. . .. .. . ... 5.5-9
BC107B ..................... 5.1-17 BC848CLTl ................. 5.1-10 B0677A ...................... 5.5-9
BC109C ..................... 5.1-17 BC848CWT1 ................ 5.1-11 B0678 ........ . .. .. .. . .. .. ... 5.5-9
BCl77B ..................... 5.1-17 BC856ALT1 ................. 5.1-10 B0678A .. .. .. .. . . .. .. .. .. .... 5.5-9
BC182 ....................... 5.1-2 BC856AWT1 ................. 5.1-11 B0679 ... .. .. .. . .. .. . .. .. .... 5.5-9
BC212 ....................... 5.1-2 BC856BLTl ................. 5.1-10 B0679A .................... " 5.5-9
BC237B ...................... 5.1-2 BC856BWT1 ................ 5.1-11 B0680 .................... '" 5.5-9
BC239 ....................... 5.1-3 BC857ALT1 ................. 5.1-11 B0680A .................... " 5.!>-9
BC307B ...................... 5.1-2 BC857AWT1 ................. 5.1-11 B0681 ...................... 5.5-10
BC327 ....................... 5.1-2 BC857BLT1 ................. 5.1-11 B0682 ...................... 5.5-10
BC328 ....................... 5.1-2 BC857BWT1 ................ 5.1-11 B0776 .... . . . . . . . . . . . . . . . . . .. 5.5-9
BC337 ....................... 5.1-2 BC858ALT1 ................. 5.1-11 B0777 .............. . . . . . . . .. 5.5-9
BC338 ....................... 5.1-2 BC858AWT1 ................. 5.1-11 B0778 ..................... " 5.5-9
BC368 ....................... 5.1-4 BC858BLT1 ................. 5.1-11 B0779 ...................... 5.5-10
BC369 ....................... 5.1-4 BC858BWT1 ................ 5.1-11 B0780 ...................... 5.5-10
BC373 ....................... 5.1-4 BC858CLTl ................. 5.1-11 B0787 .... .. .. .. . .. .. .. .. .... 5.5-9
BC489 ....................... 5.1-4 BC858CWT1 ................ 5.1-11 B0788 ........... . .. .. .. .. ... 5.5-9
BC490 ....................... 5.1-4 BOB01C ..................... 5.1-3 B0789 ....................... 5.5-9
BC517 ....................... 5.1-4 BOB02C .. " ................. 5.1-3 B0790 ............... . . . . . . .. 5.5-9
BC546 ....................... 5.1-2 BOB020 ..................... 5.1-3 B0791 ...................... 5.5-10
BC546A ...................... 5.1-2 BOC01D ..................... 5.1-3 B0792 ...................... 5.5-10
BC546B ...................... 5.1-2 BOC020 ..................... 5.1-3 B0801 ....................... 5.5-4
BC547 ....................... 5.1-2 BOC05 ....................... 5.1-5 B0802 ........... . . . . . . . . . . .. 5.5-4
BC547A ...................... 5.1-2 BOV64B ..................... 5.5-6 B0808 ........ . . . . . . . . . . . . . .. 5.5-5
BC547B ...................... 5.1-2 BOV65B ..................... 5.5-6 B0809 ........ . .. .. .. .. .. . ... 5.5-5
BC547C ...................... 5.1-2 BOW42 ...................... 5.5-6 B0810 ....................... 5.5-5
BC548 ....................... 5.1-2 BOW47 ...................... 5.5-6 BFR90 ............. 5.10--16,5.10--19
BC548A ...................... 5.1-2 BOX33B ..................... 5.5-5 BFR92ALT1 ................ 5.10--16
BC548B ...................... 5.1-2 BOX33C ..................... 5.5-6 BFR93ALT1 ................ 5.10--16
BC548C ...................... 5.1-2 BOX34B ..................... 5.5-5 BFR96 ............. 5.10-16,5.10--19
BC549B ...................... 5.1-3 BOX34C ..................... 5.5-6 BFS17LT1 .................. 5.10--16
BC549C ...................... 5.1-3 BOX53B ..................... 5.5-5 BF199 ....................... 5.1-6
BC550B ...................... 5.1-3 BOX53C ..................... 5.5-5 BF224 ....................... 5.1-6
BC550C ...................... 5.1-3 BOX54B ..................... 5.5-5 BF246A ..................... 5.1-20
BC556 ....................... 5.1-2 BOX54C ..................... 5.5-5 BF246B ..................... 5.1-20
BC556B ...................... 5.1-2 B0135 ........ .. .. .. .. .. .. ... 5.5-9 BF393 ....................... 5.1-5
BC557 ....................... 5.1-2 B0136 ....... . . . . . . . . . . . . . . .. 5.5-9 BF420 ....................... 5.1-5
BC557A ...................... 5.1-2 B0137 ........ . . .. .. .. .. .. ... 5.5-9 BF421 ....................... 5.1-5
BC557B ...................... 5.1-2 B0138 .. . . . .. .. .. .. .. .. .. . ... 5.5-9 BF422 ....................... 5.1-5
BC557C ...................... 5.1-2 B0139 ....................... 5.5-9 BF423 ....................... 5.1-5
BC558B ...................... 5.1-2 B0140 ....................... 5.5-9 BF493S ...................... 5.1-5
BC559B ...................... 5.1-3 B0140-10 .................... 5.5-9 BF720T1 .................... 5.1-16
. BC559C ...................... 5.1-3 B0157 ....... . . . . . . . . . . . . . . .. 5.5-8 BF721T1 .................... 5.1-16
BC560B ...................... 5.1-3 B0158 . . . . . . . . . . . . . . . . . . . . . .. 5.5-8 BF844 ....................... 5.1-5
BC560C ...................... 5.1-3 B0159 .......... .. .. .. .. .. ... 5.5-9 BF959 ....................... 5.1-6
BC618 ....................... 5.1-4 B0165 ....................... 5.5-9 BSP16T1 .................... 5.1-16

Motorola Master Selection Guide 7.1-1 Oevice Index


Device Index
Device Index Page Device Index Page Device Index Page
BSP19AT1 .................. 5.1-16 BZX55C20RL ................ 5.2-17 BZX84C43LT1 ........ 5.2-22,5.2-24
BSP20AT1 .................. 5.1-16 BZX55C27RL ................ 5.2-17 BZX84C47LT1 ........ 5.2-22,5.2-24
BSP52T1 .................... 5.1-16 BZX55C3VORL .............. 5.2-16 BZX84C5V1 LTl ....... 5.2-21,5.2-24
BSP62T1 .................... 5.1-16 BZX55C3V3RL .............. 5.2-16 BZX84C5V6LTl ....... 5.2-21,5.2-24
BSS123LT1 ................. 5.1-23 BZX55C3V6RL .............. 5.2-16 BZX84C51LTl ........ 5.2-22,5.2-24
BSS63LT1 ................... 5.1-15 BZX55C3V9RL .............. 5.2-16 BZX84C56LTl ........ 5.2-22,5.2-24
BSS64LT1 ................... 5.1-15 BZX55C4V3RL .............. 5.2-16 BZX84C6V2LTl ....... 5.2-21,5.2-24
BSS73 ...................... 5.1-18 BZX55C4V7RL .............. 5.2-16 BZX84C6V8LTl ....... 5.2-21,5.2-24
BSS76 ..... , ................ 5.1-18 BZX55C5V1RL .............. 5.2-16 BZX84C62LTl ........ 5.2-22,5.2-24
BSS89 ...................... 5.1-21 BZX55C5V6RL .............. 5.2-16 BZX84C68LT1 ........ 5.2-22,5.2-24
BSV52LT1 ................... 5.1-13 BZX55C51RL ................ 5.2-17 BZX84C7V5LTl ....... 5.2-21,5.2-24
BSX20 ...................... 5.1-18 BZX55C6V2RL .............. 5.2-16 BZX84C75LTl ........ 5.2-22,5.2-24
BS107 ...................... 5.1-21 BZX55C6V8RL .............. 5.2-16 BZX84C8V2LTl ....... 5.2-21, 5.2-24
BS107A ..................... 5.1-21 BZX55C68RL ................ 5.2-17 BZX84C9V1 LTl ....... 5.2-21, 5.2-24
BS170 ...................... 5.1-21 BZX55C7V5RL .............. 5.2-16 BZX85Cl0RL ................ 5.2-18
BUD43B-1 .................. 5.5-19 BZX55C75RL ................ 5.2-17 BZX85Cl00RL ............... 5.2-19
BUD44D2-1 ................. 5.5-19 BZX55C8V2RL .............. 5.2-16 BZX85C12RL ................ 5.2-18
BUH100 ..................... 5.5-18 BZX55C82RL ................ 5.2-17 BZX85C15RL ................ 5.2-18
BUH150 ..................... 5.5-18 BZX55C9V1RL .............. 5.2-16 BZX85CI8RL ................ 5.2-18
BUH50 ...................... 5.5-18 BZX55C91RL ................ 5.2-17 BZX85C22RL ................ 5.2-18
BUH51 ...................... 5.5-19 BZX79C100RL ............... 5.2-17 BZX85C24RL ................ 5.2-18
BUL146 ............... 5.5-4,5.5-18 BZX79C12RL ................ 5.2-16 BZX85C27RL ................ 5.2-18
BUL146F .................... 5.5-19 BZX79C15RL ................ 5.2-16 BZX85C3V3RL .............. 5.2-18
BUL147 ............... 5.5-5,5.5-18 BZX79C16RL ................ 5.2-16 BZX85C3V6RL ., ............ 5.2-18
BUL147F .................... 5.5-19 BZX79C2V4RL .............. 5.2-16 BZX85C3V9RL .............. 5.2-18
BUL43B ..................... 5.5-18 BZX79C2V7RL .............. 5.2-16 BZX85C30RL ................ 5.2-18
BUL44 ................ 5.5-3,5.5-18 BZX79C3VORL .............. 5.2-16 BZX85C33RL .. .. .. .. .. .. .... 5.2-18
BUL44D2 .................... 5.5-18 BZX79C3V3RL .............. 5.2-16 BZX85C43RL ................ 5.2-18
BUL44F ..................... 5.5-19 BZX79C3V6RL .............. 5.2-16 BZX85C47RL ................ 5.2-18
BUL45 ................ 5.5-4,5.5-18 BZX79C33RL ................ 5.2-17 BZX85C5V1RL .............. 5.2-18
BUL45D2 ................... 5.5-18 BZX79C4V3RL .............. 5.2-16 BZX85C5V6RL .............. 5.2-18
BUL45F ..................... 5.5-19 BZX79C4V7RL .............. 5.2-16 BZX85C6V8RL .............. 5.2-18
BUS50 ...................... 5.5-14 BZX79C5V1RL .............. 5.2-16 BZX85C7V5RL .............. 5.2-18
BUS98 ...................... 5.5-14 BZX79C5V6RL .............. 5.2-16 BZX85C75RL ................ 5.2-19
BUS98A .................... 5.5-14 BZX79C56RL .. .. .. .. .. .. .... 5.2-17 BZX85C8V2RL .............. 5.2-18
BUT33 ...................... 5.5-14 BZX79C6V2RL .............. 5.2-16 CATHRU ................... 5.10-33
BUT34 ...... '" ............. 5.5-14 BZX79C6V8RL .............. 5.2-16 CA2810C .................. 5.10-34
BUV11 ...................... 5.5-13 BZX79C8V2RL .............. 5.2-16 CA2818C .................. 5.10-34
BUV18A .................... 5.5-14 BZX83C12RL ................ 5.2-16 CA2830C .................. 5.10-34
BUV20 ...................... 5.5-14 BZX83C3V3RL .............. 5.2-16 CA2832C .................. 5.10-34
BUV21 ...................... 5.5-14 BZX83C3V6RL .............. 5.2-16 CA2833C .................. 5.10-34
BUV22 ...................... 5.5-14 BZX83C4V7RL .............. 5.2-16 CA2842C .................. 5.10-34
BUV23 ...................... 5.5-14 BZX83C5V1RL .............. 5.2-16 CA3059 .. .. .. .. .. .. .. .. .. .... 4.3-2
BUV48 ....................... 5.5-7 BZX83C6V2RL .............. 5.2-16 CA3146 ...................... 4.~
BUV48A ..................... 5.5-7 BZX84C10LT1 ........ 5.2-21,5.2-24 CA4800C .................. 5.10-34
BUV60 ...................... 5.5-14 BZX84C11 LT1 ........ 5.2-21,5.2-24 CA4800CS ................. 5.10-34
BUX48 ...................... 5.5-12 BZX84C12LT1 ........ 5.2-21,5.2-24 CA4812C .................. 5.10-34
BUX48A .................... 5.5-12 BZX84C13LT1 ........ 5.2-21,5.2-24 CA4812CS ................. 5.10-34
BUX85 .. .. .. .. .. .. .. .. .. .. ... 5.5-3 BZX84C15LT1 ........ 5.2-21,5.2-24 CA4815C .................. 5.10-34
BUX98 ...................... 5.5-14 BZX84C16LT1 ........ 5.2-21,5.2-24 CA4815CS ................. 5.10-34
BUX98A .................... 5.5-14 BZX84C18LT1 ........ 5.2-21,5.2-24 CA5800C .................. 5.10-34
BUY49P ..................... 5.5-9 BZX84C2V4LT1 ....... 5.2-21,5.2-24 CA5800CS ................. 5.10-34
BU208A ..................... 5.5-11 BZX84C2V7LT1 ....... 5.2-21,5.2-24 CA5801 .................... 5.10-34
BU323A ..................... 5.5-12 BZX84C20LT1 ........ 5.2-21,5.2-24 CA5801S ................... 5.10-34
BU323AP .................... 5.5-6 BZX84C22LT1 ........ 5.2-21,5.2-24 CA5815C .................. 5.10-34
BU406 ....................... 5.5-4 BZX84C24LTl ........ 5.2-21,5.2-24 CA5815CS ................. 5.10-34
BU407 ....................... 5.5-4 BZX84C27LTl ........ 5.2-21,5.2-24 CA901 ..................... 5.10-33
BU522B . . . .. . . .. . . . . . . . . . . . .. 5.5-4 BZX84C3VOLTl ....... 5.2-21,5.2-24 CA901A .................... 5.10-33
BU806 ....................... 5.5-5 BZX84C3V3LTl ....... 5.2-21,5.2-24 CA922 ..................... 5.10-33
BZX55C10RL ................ 5.2-16 BZX84C3V6LTl ....... 5.2-21,5.2-24 CA922A .................... 5.10-33
BZX55C11RL ................ 5.2-16 BZX84C3V9LTl .............. 5.2-21 CNYI7-1 .................... 5.8-6
BZX55C12RL ................ 5.2-16 BZX84C30LTl ........ 5.2-22,5.2-24 CNY17-2 .................... 5.8-6
BZX55C13RL ................ 5.2-16 BZX84C33LT1 ........ 5.2-22,5.2-24 CNYI7-3 .................... 5.8-6
BZX55C15RL ................ 5.2-16 BZX84C36LTl ........ 5.2-22,5.2-24 CR2428 .................... 5.10-35
BZX55C16RL ................ 5.2-16 BZX84C39LTl ........ 5.2-22,5.2-24 CR3428 .................... 5.10-35
BZX55C2V4RL .............. 5.2-16 BZX84C4V3LT1 ....... 5.2-21,5.2-24 Cl06A ....................... 5.7-3
BZX55C2V7RL .............. 5.2-16 BZX84C4V7LTl ....... 5.2-21,5.2-24 Cl06B ....................... 5.7-3

Device Index 7.1-2 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
C106D ....................... 5.7-3 DSP96000ADSF ............. 2.1-12 ICTE-36C . . . . . . . . . . . . . . . . . . .. 5.2-6
C106F ....................... 5.7-3 DSP96000ADSH ............. 2.1-12 ICTE-45 ..................... 5.2-6
C106M ....................... 5.7-3 DSP96000CLASA ............ 2.1-13 ICTE-45C . . . . . . . . . . . . . . . . . . .. 5.2-6
DSPCOMMAND ............. 2.1-12 DSP96000CLASB ............ 2.1-13 ICTE-5 ...................... 5.2-6
DSPMACHOST .............. 2.1-12 DSP96000CLASF ............ 2.1-13 ICTE-8 ...................... 5.2-6
DSPPCHOST ................ 2.1-12 DSP96000CLASH ............ 2.1-13 ICTE-8C . . . . . . . . . . . . . . . . . . . .. 5.2-6
DSPSUN4HOST ............. 2.1-12 DS0026 .... . . . . . . . . . . . . . . . .. 4.2-25 J110 ........................ 5.1-20
DSP56ADC16S .............. 2.1-10 D44C12 . . . . . . . . . . . . . . . . . . . . .. 5.5-4 J111 ........................ 5.1-20
DSP56KCCA ................ 2.1-13 D44H10 ...................... 5.5-5 J112 ........................ 5.1-20
DSP56KCCAJ ............... 2.1-13 D44H11 ...................... 5.5-5 J113 ........................ 5.1-20
DSP56KCCF ................ 2.1-13 D44H8 . . . . . . . . . . . . . . . . . . . . . .. 5.5-5 J202 ........................ 5.1-19
DSP56KCCFJ ............... 2.1-13 D44VH10 .................... 5.5-6 J308 ........................ 5.1-19
DSP56KCCH ................ 2.1-13 D45C12 . . . . . . . . . . . . . . . . . . . . .. 5.5-4 J309 ........................ 5.1-19
DSP56L002FC40 ............. 2.1-6 D45H10 ...................... 5.5-5 J310 ........................ 5.1-19
DSP56000CLASA ............ 2.1-13 D45H11 ...................... 5.5-5 LF347 ....................... 4.1-4
DSP56000CLASB ............ 2.1-13 D45H8 . . . . . . . . . . . . . . . . . . . . . .. 5.5-5 LF347B ...................... 4.1-4
DSP56000CLASF ............ 2.1-13 D45VH10 .................... 5.5-6 L~~ ....................... ~14
DSP56000CLASH ............ 2.1-13 HDC003 ..................... 1.1-3 LF353 ....................... 4.1-3
DSP56002ADM .............. 2.1-12 HDC006 ..................... 1.1-3 LF411C ...................... 4.1-2
DSP56002ADSA ............. 2.1-12 HDC008 ..................... 1.1-3 LF412C ...................... 4.1-3
DSP56002ADSB ............. 2.1-12 HDC011 ...................... 1.1-3 LF441C ...................... 4.1-2
DSP56002ADSF ............. 2.1-12 HDC016 ..................... 1.1-3 LF442C ...................... 4.1-3
DSP56002ADSH ............. 2.1-12 HDC027 ..................... 1.1-3 LF444C ...................... 4.1-4
DSP56002EVM .............. 2.1-12 HDC031 ..................... 1.1-3 LM11C ....................... 4.1-2
DSP56002FC40 ............... 2.1-6 HDC049 ..................... 1.1-3 LM11CL ...................... 4.1-2
DSP56002FC66 ............... 2.1-6 H11AA1 ...................... 5.8-6 LM201A ...................... 4.1-2
DSP56002RC40 .............. 2.1-6 H11AA2 ...................... 5.8-6 LM211 ....................... 4.1-7
DSP56004ADM .............. 2.1-12 H11AA3 ...................... 5.8-6 LM224 ....................... 4.1-4
DSP56004ADSA ............. 2.1-12 H11AA4 ...................... 5.8-6 LM224A ...................... 4.1-4
DSP56004ADSB ............. 2.1-12 H11AV1 ...................... 5.8-6 LM239 ....................... 4.1-7
DSP56004ADSF ............. 2.1-12 H11AV2 ...................... 5.8-6 LM239A ...................... 4.1-7
DSP56004ADSH ............. 2.1-12 H11A1 ....................... 5.8-6 LM258 ....................... 4.1-3
DSP56005ADPTR ............ 2.1-12 H11A550 ..................... 5.8-6 LM285Z-1.2 .................. 4.4-2
DSP56005ADSA ............. 2.1-12 H11B1 ....................... 5.8-7 LM285Z-2.5 .................. 4.4-2
DSP56005ADSB ............. 2.1-12 H11D1 ....................... 5.8-7 LM2900 ...................... 4.1-4
DSP56005ADSF ............. 2.1-12 H11D2 ....................... 5.8-7 LM2901 ...................... 4.1-7
DSP56005ADSH ............. 2.1-12 H11G1 ....................... 5.8-7 LM2902 ...................... 4.1-4
DSP56007EVM .............. 2.1-12 H11G2 ....................... 5.8-7 LM2903 ...................... 4.1-7
DSP56009EVM .............. 2.1-12 H11G3 ....................... 5.8-7 LM2904 . . . . . . . . . . . . . . . . . . . . .. 4.1-3
DSP561CCCA ............... 2.1-13 H11L1 ....................... 5.8-6 LM293 ....................... 4.1-7
DSP561CCCF ............... 2.1-13 H11L2 ....................... 5.8-6 LM2931 .......... 4.2-2,4.2-3, 4.9-2
DSP561CCCH ............... 2.1-13 H4CP028 .................... 1.1-5 LM2931A ..................... 4.2-3
DSP56100ADSA ............. 2.1-12 H4CP048 .................... 1.1-5 LM2931A-5.0 ................. 4.2-2
DSP56100ADSB ............. 2.1-12 H4CP075 .................... 1.1-5 LM2931C .............. 4.2-4,4.9-2
DSP56100ADSF ............. 2.1-12 H4CP109 .................... 1.1-5 LM2935 . . . . . . . . . . . . . . .. 4.2-4, 4.9-2
DSP56100ADSH ............. 2.1-12 H4CP146 .................... 1.1-5 LM301A ...................... 4.1-2
DSP56100CLASA ............ 2.1-13 H4CP178 .................... 1.1-5 LM308A ...................... 4.1-2
DSP56100CLASB ............ 2.1-13 H4C018 ...................... 1.1-4 LM311 ....................... 4.1-7
DSP56100CLASF ............ 2.1-13 H4C027 ...................... 1.1-4 LM317 ....................... 4.2-5
DSP56100CLASH ............ 2.1-13 H4C035 ...................... 1.1-4 LM317B ...................... 4.2-5
DSP56156ADM .............. 2.1-12 H4C057 ...................... 1.1-4 LM317L . . . . . . . . . . . . . . . . . . . . .. 4.2-4
DSP56166ADM .............. 2.1-12 H4C086 ...................... 1.1-4 LM317LB . . . . . . . . . . . . . . . . . . . .. 4.2-4
DSP563CCA ................ 2.1-13 H4C123 ...................... 1.1-4 LM317M ..................... 4.2-5
DSP563CCF ................. 2.1-13 H4C161 ...................... 1.1-4 LM317MB .................... 4.2-5
DSP563CCH ................ 2.1-13 H4C195 ...................... 1.1-4 LM323 ...... . . . . . . . . . . . . . . . .. 4.2-3
DSP56300CLASA ............ 2.1-13 H4C267 ...................... 1.1-4 LM323A . . . . . . . . . . . . . . . . . . . . .. 4.2-3
DSP56300CLASF ............ 2.1-13 H4C318 ...................... 1.1-4 LM324 ....................... 4.1-4
DSP56300CLASH ............ 2.1-13 ICTE-10 ..................... 5.2-6 LM324A ..................... 4.1-4
DSP56301ADSA ............. 2.1-12 ICTE-10C .................... 5.2-6 LM337 ...... . . . . . . . . . . . . . . . .. 4.2-5
DSP56301ADSF ............. 2.1-12 ICTE-12 ..................... 5.2-6 LM337B . . . . . . . . . . . . . . . . . . . . .. 4.2-5
DSP56301ADSH ............. 2.1-12 ICTE-12C . . . . . . . . . . . . . . . . . . .. 5.2-6 LM337M ..................... 4.2-5
DSP96KCCA ................ 2.1-13 ICTE-15 ..................... 5.2-6 LM337MB .... . . . . . . . . . . . . . . .. 4.2-5
DSP96KCCF ................ 2.1-13 ICTE-15C .................... 5.2-6 LM339 ....................... 4.1-7
DSP96KCCH ................ 2.1-13 ICTE-18 ..................... 5.2-6 LM339A ...................... 4.1-7
DSP96000ADM .............. 2.1-12 ICTE-18C .................... 5.2-6 LM340 . . . . . . . . . . . . . . . . . . . . . .. 4.2-3
DSP96000ADSA ............. 2.1-12 ICTE-22 ..................... 5.2-6 LM340-24 . . . . . . . . . . . . . . . . . . .. 4.2-3
DSP96000ADSB ............. 2.1-12 ICTE-36 ..................... 5.2-6 LM340A-XX . . . . . . . . . . . . . . . . .. 4.2-3

Motorola Master Selection Guide 7.1-3 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
LM348 ..•.................... 4.1-4 MAC223A4 .................. 5.7-13 MBRB3030CTLK .. .. .. .. .. .... 5.6-5
LM350 ....................... 4.2-5 MAC223A4FP ............... 5.7-13 MBRB4030K ................ " 5.6-5
LM350B . . . . . . . . . . . . . . . . . . . . .. 4.2-5 MAC223A6 .................. 5.7-13 MBRD1035CTL ............... 5.6-3
LM358 ..............•......•. 4.1-3 MAC223A6FP ............... 5.7-13 MBRD1035CTLK .............. 5.6-5
LM385BZ-1.2 ................. 4.4-2 MAC223A8 .................. 5.7-13 MBRD340 .. .. .. .. .. .. .. .. .... 5.6-5
LM385BZ-2.5 ................. 4.4-2 MAC223A8FP ............... 5.7-13 MBRD360 .. .. .. .. .. .. .. .. .... 5.6-5
LM385Z-1.2 .................. 4.4-2 MAC224A10 ................. 5.7-13 MBRD640CT ................. 5.6-5
LM385Z-2.5 ..........••...... 4.4-2 MAC224A4 .................. 5.7-13 MBRD660CT ................. 5.6-5
LM3900 ............•......... 4.1-4 MAC224A6 .................. 5.7-13 MBRDB35L .. .. .. .. .. .. .. .. ... 5.6-3
LM393 ....•...........•...... 4.1-7 MAC224A8 .................. 5.7-13 MBRD835LK ................. 5.6-5
LM393A .......•.............. 4.1-7 MAC228A10 ................. 5.7-10 MBRF1045K ...... ; ........... 5.6-7
LM833 ....................... 4.1-3 MAC228A10FP .............. 5.7-10 MBRF1545CT ................ 5.6-7
LP1001 ............ 5.10-15,5.10-19 MAC228A4 .................. 5.7-10 MBRF20100CT ............... 5.6-7
LP1001A ........... 5.10-15,5.10-19 MAC228A4FP ............... 5.7-10 MBRF20200CT ............... 5.6-7
LP2950AC ............. 4.2-2, 4.2-4 MAC228A6 .................. 5.7-10 MBRF2045CT ................ 5.6-7
LP2950C .. .. .. .. .. .. ... 4.2-2, 4.2-4 MAC228A6FP ............... 5.7-10 MBRF2060CT ................ 5.6-7
LP2951AC ................... 4.2-4 MAC228A8 .................. 5.7-10 MBRF2545CT ................ 5.6-7
LP2951C ..................... 4.2-4 MAC228A8FP ............... 5.7-10 MBRF745K ................... 5.6-7
MAC08BT1 ................... 5.7-7 MAC310A10 ................. 5.7-11 MBRP20030CTL .............. 5.6-3
MAC08DT1 ................... 5.7-7 MAC310A4 .................. 5.7-11 MBRP20030CTLK . . . . . . . . . . . .. 5.6-9
MACOBMT1 .................. 5.7-7 MAC310A6 .................. 5.7-11 MBRP20045CTK . .. .. .. .. .. ... 5.6-9
MAC12D .................... 5.7-12 MAC310A8 .................. 5.7-11 MBRP20060CTK . .. .. .. .. .. ... 5.6-9
MAC12M .................... 5.7-12 MAC320A10 ................. 5.7-13 MBRP30045CTK .. .. .. . .. .. ... 5.6-9
MAC12N .................... 5.7-12 MAC320A10FP .............. 5.7-13 MBRP30060CTK .. .. .. .. .. .... 5.6-9
MAC15A10 .................. 5.7-12 MAC320A4 .................. 5.7-13 MBRP60035CTL .. .. .. .. .. .... 5.6-3
MAC15A10FP ............... 5.7-12 MAC320A4FP ............... 5.7-13 MBRP60035CTLK . . . . . . . . . . . .. 5.6-9
MAC15A4 ................... 5.7-12 MAC320A6 .................. 5.7-13 MBRS1100T3 ... : ............. 5.6-4
MAC15A4FP ................ 5.7-12 MAC320A6FP ................ 5.7-13 MBRS130LT3 ........... 5.6-3,5.6-4
MAC15A6 ................... 5.7-12 MAC320A8 .................. 5.7-13 MBRS140T3 .................. 5.6-4
MAC15A6FP ................ 5.7-12 MAC320A8FP ............... 5.7-13 MBRS340T3 . . .. . .. .. .. . . .. . .. 5.6-4
MAC15A8 ................... 5.7-12 MAC321-10 ................. 5.7-13 MBRS360T3K ................ 5.6-4
MAC15A8FP ................ 5.7-12 MAC321-4 .................. 5.7-13 MBRV7030CTLK . . . . . . . . . . . . . . 5;6-5
MAC15D .................... 5.7-12 MAC321-6 .................. 5.7-13 MBR0520LT1 ................ ; 5.6-3
MAC15M .................... 5.7-12 MAC321-8 .................. 5.7-13 MBR0520LT1 K ................ 5.6-4
MAC15N .................... 5.7-12 MACBD ...................... 5.7-9 MBR0530T1 K . .. . . . .. .. . . . . ... 5.6-4
MAC15SD ................... 5.7-12 MACBM ...................... 5.7-9 MBR0540T1 K . .. . .. .. .. . . . .. .. 5.6-4
MAC15SM .................. 5.7-12 MAC8N ...................... 5.7-9 MBR10100 ................... 5.6-7
MAC15SN ................... 5.7-12 MACBSD ..................... 5.7-9 MBR1045 .................... 5.6-7
MAC16D .................... 5.7-12 MACBSM ..................... 5.7-9 MBR1060 .................... 5.6-7
MAC16M .................... 5.7-12 MAC8SN ..................... 5.7-9 MBR1100 .................... 5.6-6
MAC16N .................... 5.7-12 MAC9D ...................... 5.7-9 MBR1545CT .................. 5.6-7
MAC210A10 ................. 5.7-11 MAC9M ...................... 5.7-9 MBR160 ..................... 5.6-6
MAC210A10FP .............. 5.7-11 MAC9N ...................... 5.7-9 MBRl645 .................... 5.6-7
MAC210A4 .................. 5.7-11 MAC97-6 .................... 5.7-7 MBR20100CT ................ 5.6-7
MAC210A4FP ............... 5.7-11 MAC97-8 .................... 5.7-7 MBR20200CT ................ 5.6-7
MAC210A6 .................. 5.7-11 MAC97A6 .................... 5.7-7 MBR2030CTL ................ 5.6-3
MAC210A6FP ............... 5.7-11 MAC97AB .................... 5.7-7 MBR2030CTLK ............... 5.6-7
MAC210A8 .................. 5.7-11 MAD1103P .................. 5.1-39 MBR2045CT .................. 5.6-7
MAC210A8FP ............... 5.7-11 MAD1107P .................. 5.1-39 MBR2060CT . . .. . .. .. . . . . . .. .. 5.6-7
MAC212A10 ................. 5.7-11 MAD110BP .................. 5.1-39 MBR2515L ................... 5.6-3
MAC212A10FP .............. 5.7-11 MAD1109P .................. 5.1-39 MBR2515LK .................. 5.6-7
MAC212A4 .................. 5.7-11 MAD130P ................... 5.1-39 MBR2535CTL ................ 5.6-3
MAC212A4FP ............... 5.7-11 MBD101 .................... 5.1-32 MBR2535CTLK ............... 5.6-7
MAC212A6 .................. 5.7-11 MBD301 .................... 5.1-32 MBR2545CT . . .. . . .. . . . . . . . . .. 5.6-7
MAC212A6FP ............... 5.7-11 MBD701 .................... 5.1-32 MBR3045PT .. .. .. .. .. .. .. .... 5.6-6
MAC212A8 ............ 4.3-3,5.7-11 MBRA130LT3K ............... 5.6-4 MBR3045STK ................ 5.6-7
MAC212A8FP ............... 5.7-11 MBRA140T3K ................ 5.6-4 MBR3045WT ................. 5.6-6
MAC218A10 .................. 5.7-9 MBRB1545CT ................ 5.6-5 MBR3100 .................... 5.6-6
MAC218A10FP ............... 5.7-9 MBRB20100CT ............... 5.6-5 MBR340 .. . .. . .. .. .. .. .. .. ... 5.6-6
MAC218A4 ................... 5.7-9 MBRB20200CTK .. .. .. .. .. .... 5.6-5 MBR360 ..................... 5.6-6
MAC218A4FP ................ 5.7-9 MBRB2060CT ................ 5.6-5 MBR4015LWT .......... 5.6-3,5.6-8
MAC218A6 ................... 5.7-9 MBRB2515L .................. 5.6-3 MBR4045PT .. .. .. .. .. .. .. .... 5.6-8
MAC218A6FP ................ 5.7-9 MBRB2515LK ................ 5.6-5 MBR4045WT ................. 5.6-8
MAC218A8 ................... 5.7-9 MBRB2535CTL ......... 5.6-3, 5.6-5 MBR5025L ................... 5.6-3
MAC218A8FP ................ 5.7-9 MBRB2545CT ................ 5.6-5 MBR5025LK .. .. .. .. .. .. ...... 5.6-8
MAC223A10 ................. 5.7-13 MBRB3030CTK .. .. .. .. .. .. ... 5.6-5 MBR6045PTK ................ 5.6-8
MAC223A10FP .............. 5.7-13 MBRB3030CTL ............... 5.6-3 MBR6045WT ................. 5.6-8

Device Index 7.1-4 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MBR7030WT ................. 5.6-8 MCM40800 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM64PA32 ................. 2.8-4
MBR745 ..................... 5.6-7 MCM417400 .................. 2.8-6 MCM64T100 .................. 2.8-5
MBS4991 ................... 5.7-14 MCM44100B ................. 2.8-6 MCM64T116 .................. 2.8-6
MBS4992 ................... 5.7-14 MCM44100C ................. 2.8-6 MCM64T216 ................ " 2.8-6
MBS4993 ................... 5.7-14 MCM44256 Series. . . . . . . . . . . .. 2.8-4 MCM64100 ................... 2.8-5
MBV109T1 .................. 5.1-30 MCM44400B ................. 2.8-6 MCM64400 . . . . . . . . . . . . . . . . . .. 2.8-6
MCA10000ECL ............... 1.1-2 MCM4464 Series. . . . . . . . . . . . .. 2.8-4 MCM67A618A ................ 2.8-3
MCA2200ECL ................ 1.1-2 MCM5L4100A ................ 2.8-6 MCM67B518 ................. 2.8-2
MCA231 ..................... 5.8-7 MCM5L4100A-V .............. 2.8-6 MCM67B618A ................ 2.8-2
MCA3200ETL. ................ 1.1-2 MCM5L4260B ................ 2.8-6 MCM67C518 ................. 2.8-2
MCA6200ETL. ................ 1.1-2 MCM5L4400A ................ 2.8-6 MCM67C618A ............. . .. 2.8-2
MCA750ETL .................. 1.1-2 MCM5L4400A-C . . . . . . . . . . . . .. 2.8-6 MCM67H518 ................. 2.8-2
MCCF33093 . . . . . . . . . . . . . . . . .. 4.9-2 MCM5L4400A-V ........... . .. 2.8-6 MCM67H618A .............. " 2.8-2
MCCF33094 . . . . . . . . . . . . . . . . .. 4.9-2 MCM5L4800A ................ 2.8-6 MCM67J518 .................. 2.8-2
MCCF33095 . . . . . . . . . . . . . . . . .. 4.9-3 MCM5S4260B ................ 2.8-6 MCM67J618A ................ 2.8-2
MCCF3334 . . . . . . . . . . . . . . . . . .. 4.9-2 MCM5V4800A ................ 2.8-6 MCM67M518 ................. 2.8-2
MCCF79076 . . . . . . . . . . . . . . . . .. 4.9-2 MCM51L1000A ............... 2.8-6 MCM67M618A ................ 2.8-2
MCCS142233 •............... 3.1-36 MCM51 L4256A ............... 2.8-6 MCM67Q709 ................. 2.8-2
MCCS142234 ................ 3.1-36 MCM511000A ................ 2.8-6 MCM67Q804 ................. 2.8-2
MCCS142235 ................ 3.1-36 MCM514256A ................ 2.8-6 MCM6706B ................. " 2.8-3
MCCS142237 ................ 3.1-36 MCM516400B ................ 2.8-6 MCM6706BR ................. 2.8-3
MCH12140 ........... 3.1-22,4.7-38 MCM517400B ................ 2.8-6 MCM6726B ................. " 2.8-3
MCK12140 ........... 3.1-22,4.7-38 MCM517400C ................ 2.8-6 MCM6726C .................. 2.8-3
MCM10139 .................. 3.1-35 MCM517400CV ............... 2.8-6 MCM6729B . . . . . . . . . . . . . . . . . .. 2.8-3
MCM10143 .................. 3.1-36 MCM517405C ................ 2.8-6 MCM6729C .................. 2.8-3
MCM10145 .................. 3.1-36 MCM517405CV ............... 2.8-6 MCM69F536A ................ 2.8-2
MCM10146 .................. 3.1-35 MCM518160A ................ 2.8-6 MCM69P536A ................ 2.8-2
MCM10149*25 ............... 3.1-35 MCM518160B ................ 2.8-6 MCM69P618A ................ 2.8-2
MCM10152 ...........•...... 3.1-35 MCM518165B ................ 2.8-6 MCM6926 .................. " 2.8-3
MCM18100 ............•...... 2.8-5 MCM518165BV ............... 2.8-6 MCM6929 .................. " 2.8-3
MCM18200 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM54100A ................. 2.8-6 MCM72BA32 ................. 2.8-4
MCM18400 ................... 2.8-5 MCM54100A-C ............... 2.8-6 MCM72BA64 ................. 2.8-4
MCM18800 ................... 2.8-5 MCM54100A-V ............... 2.8-6 MCM72BF64 ................. 2.8-4
MCM32L103 .................. 2.8-5 MCM54260B ................. 2.8-6 MCM72CB64 ................. 2.8-4
MCM32T100 .................. 2.8-5 MCM54260D ................. 2.8-6 MCM72JG64 ................. 2.8-4
MCM32T116 .................. 2.8-5 MCM54265D ................. 2.8-6 MCM81430 ................... 2.8-5
MCM32T200 • . . . . . . . . . . . . . . . .. 2.8-5 MCM54400A ................. 2.8-6 MCM84T430 .................. 2.8-5
MCM32T216 •................. 2.8-5 MCM54400A-C . . . . . . . . . . . . . .. 2.8-6 MCM84000 . . . . . . . . . . . . . . . . . .. 2.8-5
MCM32T800 .................. 2.8-5 MCM54400A-V .. . . . . . . . . . . . .. 2.8-6 MCM84430 ................. " 2.8-5
MCM32100 ................... 2.8-5 MCM54800A ................. 2.8-6 MCM91430 ................... 2.8-5
MCM321024 .................. 2.8-4 MCM56824A ................. 2.8-2 MCM94T430 .................. 2.8-5
MCM32103 ................... 2.8-5 MCM62X308 ................. 2.8-2 MCM94000 . . . . . . . . . . . . . . . . . .. 2.8-5
MCM32116 ................... 2.8-5 MCM6205D .................. 2.8-3 MCM94430 . . . . . . . . . . . . . . . . . .. 2.8-5
MCM32130 ................... 2;8-5 MCM6206BA ................. 2.8-3 MCR08BT1 ................... 5.7-2
MCM32216 ................... 2.8-5 MCM62110 ................... 2.3-3 MCR08DT1 ................... 5.7-2
MCM32230 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM6223 . . . . . . . . . . . . . . . . . . .. 2.8-3 MCR08MT1 .................. 5.7-2
MCM32400 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM6226B . . . . . . . . . . . . . . . . . .. 2.8-3 MCR100-6 ................... 5.7-2
MCM32410 ................... 2.8-5 MCM6226BA ................. 2.8-3 MCR10H ................... 5.7-2
MCM32420 • . . . . . . . . . . . . . . . . .. 2.8-5 MCM6226BB ................. 2.8-3 MCR106-2 ...............••.. 5.7-3
MCM32423 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM6227B . . . . . . . . . . . . . . . . . .. 2.8-3 MCR106-3 .............•..... 5.7-3
MCM32515 ................... 2.8-4 MCM6229B . . . . . . . . . . . . . . . . . .. 2.8-3 MCR106-4 ..............•.... 5.7-3
MCM32800 . . . • • . . . . . . . . . . . . .. 2.8-5 MCM6229BA ................. 2.8-3 MCR106-6 ................... 5.7-3
MCM36100 ...••.............. 2.8-5 MCM6229BB ................. 2.8-3 MCR106-8 ................... 5.7-3
MCM36104 ................... 2.8-5 MCM6246 . . . . . . . . . . . . . . . . . . .. 2.8-3 MCR12D ..................... 5.7-5
MCM36200 . . . . . . . . . . . . . • . . . .. 2.8-5 MCM6249 . . . . . . . . . . . . . . . . . . .. 2.8-3 MCR12LD .................. " 5.7-5
MCM36204 ................... 2.8-5 MCM62973A ................. 2.8-2 MCR12LM .................... 5.7-5
MCM36400 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM62974A ................. 2.8-2 MCR12LN .................. " 5.7-5
MCM36404 . . • . . . . . . . . . . . . . . .. 2.8-5 MCM62975A ................. 2.8-2 MCR12M ..................... 5.7-5
MCM36800 ..•................ 2.8-5 MCM62990A ................. 2.8-2 MCR12N ..................... 5.7-5
MCM36804 ................... 2.8-5 MCM62995A ................. 2.8-3 MCR16D ..................... 5.7-5
MCM4L4100B ................ 2.8-6 MCM62996 . . . . . . . . . . . . . . . . . .. 2.8-3 MCR16M .. , ................ " 5.7-5
MCM4L4100C ................ 2.8-6 MCM63P532 ................. 2.8-2 MCR16N ..................... 5.7-5
MCM4L4400B ................ 2.8-6 MCM6306D .................. 2.8-3 MCR218-10FP ............... 5.7-4
MCM40100 ................... 2.8-5 MCM6323 . . . . . . . . . . . . . . . . . . .. 2.8-3 MCR218-4FP ................ 5.7-4
MCM40200 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM6326 . . . . . . . . . . . . . . . . . . .. 2.8-3 MCR218-6FP ................ 5.7-4
MCM40400 ................... 2.8-5 MCM64AF32 ................. 2.8-4 MCR216-8FP ................ 5.7-4
MCM40420 . . . . . . . . . . . . . . . . . .. 2.8-5 MCM64AG32 .... . . . . . . . . . . . .. 2.8-4 MCR22-6 .................... 5.7-2

Motorola Master Selection Guide 7.1-5 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MCR22-8 .................... 5.7-2 MC10EL58 .................. 3.1-31 MC10H123 .................. 3.1-17
MCR225-10FP ............... 5.7-6 MC10EL89 .................. 3.1-22 MC10H124 .................. 3.1-38
MCR225--2FP ................ 5.7-6 MC10E016 .................. 3.1-19 MC10H125 .................. 3.1-38
MCR225-4FP ................ 5.7-6 MC10El0l .................. 3.1-27 MC10H130 .................. 3.1-30
MCR225-6FP ................ 5.7-6 MC10El04 .................. 3.1-27 MC10H131 .................. 3.1-24
MCR225-8FP ................ 5.7-6 MC10El07 .................. 3.1-27 MC10H135 .................. 3.1-23
MCR25D ..................... 5.7-5 MC10Elll .................. 3.1-17 MC10H136 .................. 3.1-21
MCR25M ..................... 5.7-5 MC10El12 .................. 3.1-30 MC10H141 .................. 3.1-37
MCR25N ..................... 5.7-5 MC10El16 .................. 3.1-36 MC10H145 .................. 3.1-36
MCR264-10 .................. 5.7-6 MC10E122 .................. 3.1-29 MC10H158 .................. 3.1-33
MCR264-4 ................... 5.7-6 MC10E131 .................. 3.1-23 MC10H159 .................. 3.1-33
MCR264-6 ................... 5.7-6 MC10E136 .................. 3.1-19 MC10H16 ................... 3.1-19
MCR264-8 ................... 5.7-6 MC10E137 .................. 3.1-19 MC10H160 .................. 3.1-34
MCR265--10 .................. 5.7-6 MC10E141 .................. 3.1-37 MC10H161 .................. 3.1-21
MCR265--4 ................... 5.7-6 MC10E142 .................. 3.1-37 MC10H162 .................. 3.1-21
MCR265-6 ................... 5.7-6 MC10E143 .................. 3.1-23 MC10H164 .................. 3.1-32
MCR265-8 ................... 5.7-6 MC10E150 .................. 3.1-30 MC10H165 .................. 3.1-22
MCR310-10 .................. 5.7--4 MC10E151 .................. 3.1-23 MC10H166 .................. 3.1-18
MCR310-3 ................... 5.7--4 MC10E154 .................. 3.1-30 MC10H171 .................. 3.1-21
MCR310--4 ................... 5.7--4 MC10E155 .................. 3.1-30 MClOH172 .................. 3.1-21
MCR310-6 ................... 5.7--4 MC10E156 .................. 3.1-30 MC10H173 .................. 3.1-32
MCR310-8 ................... 5.7--4 MC10E157 .................. 3.1-33 MC10H174 .................. 3.1-32
MCR69-2 .................... 5.7-6 MC10E158 .................. 3.1-31 MC10H175 .................. 3.1-31
MCR69-3 .................... 5.7-6 MC10E160 .................. 3.1-34 MC10H176 .................. 3.1-24
MCR69-6 .................... 5.7-6 MC10E163 .................. 3.1-31 MC10H179 .................. 3.1-14
MCR72-10 ................... 5.7-4 MC10E164 .................. 3.1-31 MC10H180 .................. 3.1-14
MCR72-2 .................... 5.7-4 MC10E1651 ................. 3.1-18 MC10H181 .................. 3.1-14
MCR72-3 .................... 5.7--4 MC10E1652 ................. 3.1-18 MC10H186 .................. 3.1-24
MCR72--4 .................... 5.7-4 MC10E166 .................. 3.1-18 MC10H188 .................. 3.1-29
MCR72-6 .................... 5.7-4 MC10E167 .................. 3.1-23 MC10H189 .................. 3.1-29
MCR72-8 .................... 5.7--4 MC10E171 .................. 3.1-31 MC10H209 .................. 3.1-27
MCR8D ...................... 5.7--4 MC10E175 .................. 3.1-30 MC10H210 .................. 3.1-28
MCR8M ...................... 5.7--4 MC10E193 .................. 3.1-34 MC10H211 .................. 3.1-28
MCR8N ...................... 5.7--4 MC10E195 .................. 3.1-35 MC10H330 .................. 3.1-17
MCR8SD ..................... 5.7--4 MC10E196 .................. 3.1-35 MC10H332 .................. 3.1-15
MCR8SM .................... 5.7--4 MC10E197 .................. 3.1-31 MClOH334 .................. 3.1-17
MCR8SN ..................... 5.7--4 MC10E211 .................. 3.1-17 MC10H350 .................. 3.1-38
MCT1458 .................... 4.1-3 MC10E212 .................. 3.1-36 MC10H351 .................. 3.1-38
MCT2 ........................ 5.8-6 MC10E241 .................. 3.1-37 MC10H352 .................. 3.1-38
MCT2E ...................... 5.8-6 MC10E256 .................. 3.1-30 MC10H423 .................. 3.1-17
MCT271 ..................... 5.8-6 MC10E336 .................. 3.1-14 MC10H424 .................. 3.1-38
MCT272 ..................... 5.8-6 MC10E337 .................. 3.1-15 MClOH600 .................. 3.1-38
MCT273 ..................... 5.8-6 MC10E404 .................. 3.1-27 MC10H601 .................. 3.1-38
MCT275 ..................... 5.8-6 MC10E411 .................. 3.1-17 MC10H602 .................. 3.1-38
MCT4558C ................... 4.1-3 MC10E416 .................. 3.1-36 MC10H603 .................. 3.1-38
MC10ELT20 ................. 3.1-39 MC10E431 .................. 3.1-23 MC10H604 .................. 3.1-38
MC10ELT21 ................. 3.1-38 MC10E445 .................. 3.1-19 MC10H605 .................. 3.1-38
MC10ELT22 ................. 3.1-38 MC10E446 .................. 3.1-19 MC10H606 .................. 3.1-39
MC10ELT24 ................. 3.1-39 MC10E451 .................. 3.1-23 MC10H607 .................. 3.1-38
MC10ELT25 ................. 3.1-38 MC10E452 .................. 3.1-23 MC10H640 .................. 3.1-17
MClOELT28 ................. 3.1-39 MC10E457 .................. 3.1-33 MC10H641 .................. 3.1-18
MC10ELOl .................. 3.1-27 MC10Hl00 .................. 3.1-28 MC10H642 .................. 3.1-17
MC10EL04 .................. 3.1-27 MC10Hl0l .................. 3.1-27 MC10H643 .................. 3.1-18
MC10EL05 .................. 3.1-27 MC10Hl02 .................. 3.1-28 MC10H644 .................. 3.1-17
MC10EL07 .................. 3.1-27 MC10Hl03 .................. 3.1-29 MC10H645 .................. 3.1-17
MC10ELll .................. 3.1-17 MC10Hl04 .................. 3.1-26 MClOH646 .................. 3.1-18
MC10El12 .................. 3.1-29 MC10Hl05 .................. 3.1-27 MC10H660 .................. 3.1-31
MC10EL15 .................. 3.1-17 MC10Hl06 .................. 3.1-28 MC10H680 .................. 3.1-38
MC10EL16 .................. 3.1-35 MC10Hl07 .................. 3.1-27 MC10H681 .................. 3.1-38
MC10EL31 .................. 3.1-23 MC10Hl09 .................. 3.1-27 MC10SXl130 ......... 3.1-18,3.1-22
MC10EL32 .................. 3.1-22 MC10Hl13 .................. 3.1-28 MC10SXl189 ................ 3.1-18
MC10EL33 .................. 3.1-22 MC10H115 .................. 3.1-36 MC100ELT20 ................ 3.1-39
MClOEL34 .................. 3.1-18 MC10Hl16 .................. 3.1-36 MC100ELT21 ................ 3.1-38
MC10EL35 .................. 3.1-24 MC10Hl17 .................. 3.1-27 MC100ELT22 ................ 3.1-38
MC10EL51 .................. 3.1-23 MC10Hl18 .................. 3.1-27 MC100ELT23 ................ 3.1-38
MC10EL52 .................. 3.1-23 MC10Hl19 .................. 3.1-27 MC100ELT24 ................ 3.1-39
MC10E157 .................. 3.1-31 MC10H121 .................. 3.1-27 MC100ELT25 ................ 3.1-38

Device Index 7.1-6 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MC100ELT28 ................ 3.1-39 MC100E404 ................. 3.1-27 MC10131 ................... 3.1-24
MC100ELOl ................. 3.1-27 MC100E416 ................. 3.1-36 MC10132 ................... 3.1-32
MC100EL04 ................. 3.1-27 MC100E431 ................. 3.1-23 MC10133 ................... 3.1-31
MC100EL05 ................. 3.1-27 MC100E445 ................. 3.1-19 MC10134 ................... 3.1-32
MC100EL07 ................. 3.1-27 MC100E446 ................. 3.1-19 MC10135 ................... 3.1-23
MC100EL11 ................. 3.1-17 MC100E451 ................. 3.1-23 MC10136 ................... 3.1-21
MC100EL12 ................. 3.1-29 MC100E452 ................. 3.1-23 MC10137 ................... 3.1-20
MC100EL13 ................. 3.1-14 MC100E457 ................. 3.1-33 MC10138 ................... 3.1-19
MC100EL14 ................. 3.1-17 MC100H600 ................. 3.1-38 MC10141 ................... 3.1-37
MC100EL15 ................. 3.1-17 MC100H601 ................. 3.1-38 MC10153 ................... 3.1-31
MC100EL16 ................. 3.1-35 MC100H602 ................. 3.1-38 MC10154 .................... 3.1-19
MC100EL17 ................. 3.1-35 MC100H603 ................. 3.1-38 MC10158 ................... 3.1-32
MC100EL29 ................. 3.1-23 MC100H604 ................. 3.1-38 MC10159 ................... 3.1-32
MC100EL30 ................. 3.1-25 MC100H605 ................. 3.1-38 MC10160 ................... 3.1-34
MC100EL31 ................. 3.1-23 MC100H606 ................. 3.1-39 MC10161 ................... 3.1-21
MC100EL32 ................. 3.1-22 MC100H607 ................. 3.1-38 MC10162 ................... 3.1-21
MC100EL33 ................. 3.1-22 MC100H640 ................. 3.1-17 MC10163 ................... 3.1-22
MC100EL34 ................. 3.1-18 MC100H641 ................. 3.1-18 MC10164 ................... 3.1-32
MC100EL35 ................. 3.1-24 MC100H642 ................. 3.1-17 MC10165 ................... 3.1-22
MC100EL38 ................. 3.1-18 MC100H643 ................. 3.1-18 MC10166 ................... 3.1-18
MC100EL39 ................. 3.1-18 MC100H644 ................. 3.1-17 MC10168 ................... 3.1-31
MC100EL51 ................. 3.1-23 MC100H646 ................. 3.1-18 MC10170 ................... 3.1-34
MC100El52 ................. 3.1-23 MC100H660 ................. 3.1-31 MC10171 ................... 3.1-21
MC100El56 ................. 3.1-32 MC100H680 ................. 3.1-38 MC10172 ................... 3.1-21
MC100EL57 ................. 3.1-31 MC100H681 ................. 3.1-38 MC10173 ................... 3.1-33
MC100EL58 ................. 3.1-31 MC100LVEL11 ............... 3.1-14 MC10174 ................... 3.1-32
MC100EL59 ................. 3.1-33 MC100LVEL13 ............... 3.1-14 MC10175 ................... 3.1-31
MC100EL90 ................. 3.1-39 MC100LVEL14 ............... 3.1-17 MC10176 ................... 3.1-24
MC100E016 ................. 3.1-19 MC100LVEL17 ............... 3.1-35 MC10177 ................... 3.1-39
MC100El01 ................. 3.1-27 MC100LVEL29 ............... 3.1-23 MC10178 ................... 3.1-19
MC100E104 ................. 3.1-27 MC100LVEL30 ............... 3.1-25 MC10180 ................... 3.1-14
MC100E107 ................. 3.1-27 MC100LVEL38 ............... 3.1-18 MC10181 ................... 3.1-14
MC100E111 ........ : ........ 3.1-17 MC100LVEL39 ............... 3.1-18 MC10186 ................... 3.1-24
MC100E112 ................. 3.1-30 MC100LVEL56 ............... 3.1-32 MC10188 ................... 3.1-29
MC100El16 ................. 3.1-36 MC100LVEL59 ............... 3.1-33 MC10189 ................... 3.1-29
MC100E122 ................. 3.1-29 MC100LVEL90 ............... 3.1-39 MC10190 ................... 3.1-38
MC100E131 ................. 3.1-23 MC100LVEL92 ............... 3.1-39 MC10191 ................... 3.1-38
MC100E136 ................. 3.1-19 MC100LVE111 ............... 3.1-17 MC10192 ................... 3.1-17
MC100E137 ................. 3.1-19 MC100LVE164 ............... 3.1-32 MC10193 ................... 3.1-22
MC100E141 ................. 3.1-37 MC100LVE210 ............... 3.1-14 MC10195 ................... 3.1-29
MC100E142 ................. 3.1-37 MC100LVE310 ............... 3.1-14 MC10197 ................... 3.1-25
MC100E143 ................. 3.1-23 MC100SX1230 ............... 3.1-22 MC10198 ................... 3.1-33
MC100E150 ................. 3.1-30 MC10100 ................... 3.1-28 MC10210 ................... 3.1-28
MC100E151 ................. 3.1-23 MC10101 ................... 3.1-27 MC10211 .................... 3.1-28
MC100E154 ................. 3.1-30 MC10102 ................... 3.1-28 MC10212 ................... 3.1-27
MC100E155 ................. 3.1-30 MC10103 ................... 3.1-29 MC10216 ................... 3.1-35
MC100E156 ................. 3.1-30 MC10104 ................... 3.1-26 MC10231 ................... 3.1-24
MC100E157 ................. 3.1-33 MC10105 ................... 3.1-27 MC10319 .............. 4.5-2, 4.8-4
MC100E158 ................. 3.1-31 MC10106 ................... 3.1-28 MC10804 ................... 3.1-38
MC100E160 ................. 3.1-34 MC10l07 ................... 3.1-27 MC10805 ................... 3.1-38
MC100E163 ................. 3.1-31 MC10l09 ................... 3.1-27 MC12002 ............ 3.1-21,4.7-37
MC100El64 ................. 3.1-31 MC10l10 .................... 3.1-28 MC12009 ............ 3.1-35,4.7-37
MC100E166 ................. 3.1-18 MC10lll .................... 3.1-28 MC12011 ............. 3.1-35,4.7-37
MC100E167 ................. 3.1-23 MC10113 .................... 3.1-28 MC12013 ............ 3.1-35,4.7-37
MC100E171 ................. 3.1-31 MC10114 .................... 3.1-36 MC12014 ............ 3.1-20,4.7-37
MC100E175 ................. 3.1-30 MC10115 .................... 3.1-36 MC12015 ............ 3.1-35,4.7-37
MC100E193 ................. 3.1-34 MC10116 .................... 3.1-36 MC12016 ............ 3.1-35,4.7-37
MC100E195 ................. 3.1-35 MC10117 .................... 3.1-27 MC12017 ............ 3.1-35,4.7-37
MC100E196 ................. 3.1-35 MC10118 .................... 3.1-27 MC12018 ............ 3.1-35,4.7-37
MC100E210 ................. 3.1-14 MC10119 .................... 3.1-27 MC12019 ............ 3.1-35,4.7-37
MC100E211 ................. 3.1-17 MC10121 ................... 3.1-27 MC12022A ........... 3.1-34,4.7-37
MC100E212 ................. 3.1-36 MC10123 ................... 3.1-17 MC12022B ........... 3.1-34,4.7-37
MC100E241 ................. 3.1-37 MC10124 ................... 3.1-38 MC12022LVA ......... 3.1-34,4.7-38
MC100E256 ................. 3.1-30 MC10125 ................... 3.1-38 MC12022LVB ......... 3.1-34,4.7-38
MC100E310 ................. 3.1-14 MC10128 ................... 3.1-15 MC12022SLA ......... 3.1-34,4.7-38
MC100E336 ................. 3.1-14 MC10129 ................... 3.1-35 MC12022SLB ......... 3.1-34,4.7-38
MC100E337 ................. 3.1-15 MC10130 ................... 3.1-30 MC12022TSA ......... 3.1-34,4.7-38

Motorola Master Selection Guide 7.1-7 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MC12022TS8 ......... 3.1-34,4.7-38 MC13109 .............. 4.7-3,4.7-4 MC14023U8 ................. 3.1-26
MC12022TVA ......... 3.1-35,4.7-38 MC13109F8 .................. 4.7-4 MC140248 .................. 3.1-19
MC12022TV8 ......... 3.1-35,4.7-38 MC13109FTA ................. 4.7-4 MC140258 .................. 3.1-28
MC12023 ............ 3.1-35,4.7-38 MC13110 ............... 4.7-3,4.7-5 MC14025U8 ................. 3.1-28
MC12025 ............ 3.1-35,4.7-38 MC13110F8 .................. 4.7-5 MC140278 .................. 3.1-23
MC12026A ........... 3.1-34,4.7-38 MC13111 ..................... 4.7-3 MC140288 .................. 3.1-21
MC120268 ........... 3.1-34,4.7-38 MC13122 .................... 4.8-2 MC140298 .................. 3.1-20
MC12028A ........... 3.1-34,4.7-38 MC13135 .............. 4.7-3,4.7-6 MC1403 ...................... 4.4-2
MC120288 ........... 3.1-34,4.7-38 MC13135DW ................. 4.7-6 MC1403A .................... 4.4-2
MC12031A ........... 3.1-35,4.7-38 MC13135P ................... 4.7-6 MC140358 .................. 3.1-37
MC120318 ........... 3.1-35,4.7-38 MC13136 .................... 4.7-3 MC140388 .................. 3.1-14
MC12032A ........... 3.1-35,4.7-38 MC13136DW ................. 4.7-6 MC1404Pl0 .................. 4.4-2
MC120328 ........... 3.1-35,4.7-38 MC13136P ................... 4.7-6 MC1404P5 ................... 4.4-2
MC12033A ........... 3.1-35,4.7-38 MC13150 .............. 4.7-2,4.7-7 MCl404P6 ................... 4.4-2
MC120338 ........... 3.1-35,4.7-38 MC13150FTA ................. 4.7-7 MCl40408 .................. 3.1-19
MC12034A ........... 3.1-35,4.7-38 MC13150FT8 ................. 4.7-7 MC140428 .................. 3.1-31
MC120348 ........... 3.1-35,4.7-38 MC13155 .................... 4.7-2 MC140438 .................. 3.1-31
MC12036A ........... 3.1-34,4.7-38 MC13156 .............. 4.7-2,4.7-8 MC140448 .................. 3.1-31
MC120368 ........... 3.1-34,4.7-38 MC13156DW ................. 4.7-8 MC140468 .................. 3.1-34
MC12038A .................. 3.1-34 MC13156F8 .................. 4.7-8 MC140498 .................. 3.1-29
MC12040 ............ 3.1-22,4.7-38 MC13158 .................... 4.7-2 MC14049U8 ................. 3.1-29
MC12052A .................. 3.1-35 MC13158FT8 ................. 4.7-9 MC140508 .................. 3.1-29
MC12053A .................. 3.1-35 MC13173 .................... 4.7-4 MC140518 .................. 3.1-31
MC12054A .................. 3.1-35 MC13175 ............. 4.7-3,4.7-10 MC140528 .................. 3.1-32
MC12058 ................... 3.1-34 MC13175D .................. 4.7-10 MC140538 .................. 3.1-33
MC12061 ............ 3.1-34,4.7-38 MC13176 ............. 4.7-3,4.7-10 MC140608 .................. 3.1-19
MC12073 ............ 3.1-34,4.7-38 MC13176D .................. 4.7-10 MC140668 .................. 3.1-33
MC12074 ............ 3.1-34,4.7-38 MC1350 . . . . . . . . . . . . . . .. 4.1-5, 4.8-3 MC140678 .................. 3.1-31
MC12075 ................... 3.1-35 MC1350D .................... 4.1-5 MC140688 .................. 3.1-25
MC12076 ............ 3.1-35,4.7-38 MCl357 ...................... 4.8-4 MC14069U8 ................. 3.1-29
MC12078 ............ 3.1-35,4.7-38 MC1374 ............... 4.8-4,4.8-18 MC140708 .................. 3.1-28
MC12079 ............ 3.1-35,4.7-38 MC1377 . . . . . . . . . . . . . . . . . . . . .. 4.8-3 MC140718 .................. 3.1-29
MC12080 ............ 3.1-34,4.7-38 MC1378 ...................... 4.8-3 MC140728 .................. 3.1-28
MC12083 ............ 3.1-34,4.7-38 MC1391 ........ " ............ 4.8-3 MC140738 .................. 3.1~26
MC12089 ............ 3.1-35,4.7-38 MC14C888 ................... 4.6-7 MC140758 .................. 3.1-29
MC12090 ............ 3.1-35,4.7-38 MC14C89A8 ................. 4.6-7 MC140768 .................. 3.1-25
MC12093 ................... 3.1-34 MC14C89A8 ................. 4.6-7 MC140778 .................. 3.1-27
MC12095 ................... 3.1-35 MC14C898 ................... 4.6-7 MC140788 .................. 3.1-28
MC12100 ............ 3.1-33,4.7-38 MC14C898 ................... 4.6-7 MC140818 .................. 3.1-26
MC12101 ............ 3.1-33,4.7-38 MC14LC5472 ................ 4.7-15 MC140828 .................. 3.1-25
MC12147 ................... 3.1-39 MC14LC5494EVK ............ 4.7-17 MC140938 .................. 3.1-36
MC12148 ............ 3.1-34,4.7-38 MC14LC5540 .. 4.7-13,4.7-14,4.7-1.5 MC140948 .................. 3.1-37
MC12149 ................... 3.1-39 MC14LC5540DW ............ 4.7-13 MC140998 .................. 3.1-30
MC12179 ................... 3.1-38 MC14LC5540FU ............. 4.7-13 MC141068 .................. 3.1-36
MC12202 ................... 3.1-38 MC14LC5540P .............. 4.7-13 MC1413 ...................... 4.6-8
MC12206 ................... 3.1-38 MC14000U8 ................. 3.1-28 MC14138 .................... 4.6-8
MC12210 ................... 3.1-38 MC140018 .................. 3.1-28 MC1416 ...................... 4.6-8
MC12429 ................... 3.1-18 MC14001U8 ................. 3.1-28 MC14168 .................... 4.6-8
MC12439 ................... 3.1-18 MC140028 .................. 3.1-28 MC141618 .................. 3.1-19
MC13020 .................... 4.8-2 MC14002U8 ................. 3.1-28 MC141620 ............. 4.8-3, 4.8~,
MC13022 .................... 4.8-2 MC140068 .................. 3.1-36 4.8-9,4.8-18
MC13022A ................... 4.8-2 MC14007U8 ................. 3.1-29 MC141621 .................. 4.8-13
MC13024 .................... 4.8-2 MC140088 .................. 3.1-14 MC141621A ............ 4.8-3,4.8-5,
MCl3025 .................... 4.8-2 MC140118 .................. 3.1-26 4.8-9,4.8-18
MC13027 .................... 4.8-2 MC14011U8 ................. 3.1-26 MC141621F8 ................ 4.8-13
MC13028A ................... 4.8-2 MC140128 .................. 3.1-25 MC141622 ............. 4.8-3,4.8-5,
MC13029A ................... 4.8-2 MC14012U8 ................. 3.1-25 4.8-9,4.8-18
MC13030 .................... 4.8-2 MC140138 .................. 3.1-23 MC141622FU ................ 4.8-14
MC13035 .................... 4.8-2 MC140148 .................. 3.1-37 MC141624 ............. 4.8-3,4.8-5,
MC13037 .................... 4.8-2 MC140158 .................. 3.1-37 4.8-9,4.8-18
MC13055 .................... 4.7-2 MC140168 .................. 3.1-33 MC141627 ............. 4.8-3,4.8-5,
MC13060 ............. 4.7-34,4.8-2 MC140178 .................. 3.1-20 4.8-9,4.8-18
MC13077 ...... , ....... 4.8-3, 4.8-5, MC140188 .................. 3.1-20 MC141638 .................. 3.1-19
4.8-18,4.8-24 MC140208 .................. 3.1-19 MC141748 .................. 3.1-24
MC13077DW ................ 4.8-24 MC140218 .................. 3.1-37 MC141758 .................. 3.1-25
MC13077P .................. 4.8-24 MC140228 .................. 3.1-20 MC141948 .................. 3.1-37
MC13081X ................... 4.8-4 MC140238 .................. 3.1-26 MC143120 ................... 2.7-2

Device Index 7.1-8 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MC143120B1DW .............. 2.7-3 MC14527B .................. 3.1-14 MC145532 .... 4.7-12,4.7-15,4.7-31
MC143120DW ................ 2.7-3 MC14528B .................. 3.1-33 MCf45532DW ............... 4.7-31
MC143120E2DW .............. 2.7-3 MC14529B .................. 3.1-32 MC145532L ................. 4.7-31
MC143150 ................... 2.7-3 MC14530B .................. 3.1-27 MC145536 .................. 4.7-31
MC143150B1FU .............. 2.7-3 MC14531B .................. 3.1-34 MC145536EVK ....... 4.7-14,4.7-15
MC143150B1FUl ............. 2.7-3 MC14532B .................. 3.1-22 MC145537EVK .............. 4.7-14
MC143150FU ................. 2.7-3 MC14534B .................. 3.1-19 MC14555B .................. 3.1-21
MC143150FUl ................ 2.7-3 MC14536B .................. 3.1-34 MC145554 .................. 4.7-12
MC1436 ...................... 4.1-2 MC14538B .................. 3.1-33 MC145557 .................. 4.7-12
MC1436C .................... 4.1-2 MC14539B .................. 3.1-32 MC14556B .................. 3.1-21
MCl44110 ................... 4.5-3 MC145403 ................... 4.6-8 MC145564 .................. 4.7-12
MCl44111 .................... 4.5-3 MC145404 ................... 4.6-8 MC145567 .................. 4.7-12
MC144112 ................... 4.5-3 MC145405 ................... 4.6-8 MC14557B .................. 3.1-36
MC144143 ....... 4.8--4,4.8-5,4.8-9, MC145406 ............ 4.6-8,4.7-15 MC145572 ........... 4.7-15,4.7-16
4.8-15,4.8-18 MC145407 ............ 4.6-8,4.7-15 MC145572EVK .............. 4.7-17
MC144143P ................. 4.8-15 MC145408 ................... 4.6-8 MC145572FN ................ 4.7-16
MC144144 ....... 4.8--4,4.8-5,4.8-9, MC14541B .................. 3.1-34 MC145572PB ................ 4.7-16
4.8-16,4.8-18 MC145421 . . ............... 4.7-12 MC145S74 ........... 4.7-15,4.7-16
MC144144P ................. 4.8-16 MC145421DW ............... 4.7-19 MC145574DW ............... 4.7-16
MC14415 ................... 3.1-34 MC145421P ................. 4.7-19 MC145574PB ................ 4.7-16
MC14433 .................... 4.5-2 MC145422 .... 4.7-12,4.7-18,4.7-19 MC14558B ............ 3.1-22,4.6-9
MC14443 .................... 4.5-2 MC145422DW ............... 4.7-18 MC145583 ................... 4.6-8
MC14447 .................... 4.5-2 MC145422P ................. 4.7-18 MC14559B ............ 3.1-38,4.5-2
MC14467-1 ................. 4.10--3 MC145425 .................. 4.7-12 MC14560B .................. 3.1-14
MC14468 ................... 4.10--3 MC145425DW ............... 4.7-19 MC14561B .................. 3.1-14
MCl4470 ................... 4.10--3 MC145425P ................. 4.7-19 MC14562B .................. 3.1-36
MCl4489 .................... 4.6-9 MC145426 .... 4.7-12,4.7-18,4.7-19 MC14566B .................. 3.1-20
MC14490 ................... 3.1-14 MC145426DW ............... 4.7-18 MC14568B .................. 3.1-20
MCl4495-1 .................. 4.6-9 MC145426P ................. 4.7-18 MC14569B .................. 3.1-20
MCl4499 .................... 4.6-9 MC14543B ............ 3.1-22,4.6-9 MC145705 ................... 4.6-8
MC14500B .................. 3.1-29 MC145436A ................. 4.7-15 MC145706 ................... 4.6-8
MC145000 ................... 4.6-9 MC145436AP ................ 4.7-15 MC145707 ................... 4.6-8
MC145001 ....... . ... 4.6-9 MC145436DW ............... 4.7-15 MC14572UB ................. 3.1-27
MC14501UB ................. 3.1-27 MC14544B ............ 3.1-22,4.6-9 MC14573 .................... 4.1-6
MC145010 .................. 4.10-3 MC145442DW ............... 4.7-31 MC14574 .................... 4.1-7
MC145011 .................. 4.10-3 MC145442P ................. 4.7-31 MC14575 .............. 4.1-6,4.1-7
MC145012 .................. 4.10-3 MC145443DW ............... 4.7-31 MC14576B ................... 4.1-6
MC145013 .................. 4.10-3 MC145443P ................. 4.7-31 MC14576C ............. 4.1-6,4.8--4
MC145017 .................. 4.10-3 MC145444DW ............... 4.7-31 MC14577B ................... 4.1-6
MC145018 .................. 4.10-3 MC145444 .................. 4.7-31 MC14577C ............ 4.1-6,4.8--4
MC14502B .................. 3.1-30 MC145446AFW .............. 4.7-31 MC14578 ............. 4.1-7,4.10-3
MC14503B .................. 3.1-15 MC145447 .................. 4.7-32 MC1458 ...................... 4.1-3
MC14504B .................. 3.1-38 MC145447DW ............... 4.7-32 MC1458C .................... 4.1-3
MC145040 ................... 4.5-2 MC145447P ................. 4.7-32 MC14580B .................. 3.1-36
MC145041 ................... 4.5-2 MC145453 ................... 4.6-9 MC14583B .................. 3.1-36
MC145050 ................... 4.5-2 MC145460EVK .............. 4.7-32 MC14584B .................. 3.1-36
MC145051 ................... 4.5-2 MC14547B ............ 3.1-22,4.6-9 MC14585B .................. 3.1-18
MC145053 ................... 4.5-2 MC145472 .................. 4.7-12 MC14598B .................. 3.1-30
MC14506UB ................. 3.1-27 MC145474 .... 4.7-12,4.7-15,4.7-17 MC14599B .................. 3.1-30
MC145073 ............. 4.5-2,4.8-5 MC145475 .... 4.7-12,4.7-15,4.7-17 MC14618 ................... 2.6-11
MC145074 ...... 4.5-3,4.8-5,4.8-18 MC145480 .... 4.7-12,4.7-15,4.7-31 MC146805E2 ................ 2.6-11
MC145076 ...... 4.5-3,4.8-5,4.8-18 MC145480DW ............... 4.7-12 MC146818A ................. 2.6-11
MC14508B .................. 3.1-30 MC145480P ................. 4.7-12 MC146823 .................. 2.6-11
MC14510B .................. 3.1-20 MC145480SD ................ 4.7-12 MC1488 ...................... 4.6-7
MC14511B ............ 3.1-22,4.6-9 MC145488 ........... 4.7-15,4.7-17 MC1489 ...................... 4.6-7
MC14512B .................. 3.1-31 MC145488FN ................ 4.7-17 MC1489A .................... 4.6-7
MC14513B ............ 3.1-22,4.6-9 MC14549B ............ 3.1-38,4.5-2 MC1490 ...................... 4.1-5
MC14514B .................. 3.1-21 MC1455BPl ................. 4.10--2 MC1494P ................... 4.10--2
MC14515B .................. 3.1-21 MC1455D ................... 4.10-2 MC1495BP .................. 4.10-2
MC14516B .................. 3.1-20 MC1455D ................... 4.10-2 MC1495D ................... 4.10-2
MC14517B .................. 3.1-37 MC1455Pl .................. 4.10--2 MC1495P ................... 4.10--2
MC14518B .................. 3.1-20 MC145500 .... 4.7-12,4.7-15,4.7-18 MC1496 ...................... 4.7-4
MC14519B .................. 3.1-27 MC145502 ................. 4.7-12 MC1648 ..................... 3.1-34
MC14520B .................. 3.1-20 MC145503 .................. 4.7-12 MC1650 ..................... 3.1-19
MC14521B .................. 3.1-34 MC145505 .................. 4.7-12 MC1651 ..................... 3.1-19
MC14522B .................. 3.1-20 MC14551B .................. 3.1-32 MC1658 ..................... 3.1-34
MC14526B .................. 3.1-20 MC14553B .................. 3.1-19 MC1660 ..................... 3.1-27

Motorola Master Selection Guide 7.1-9 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MCl662 ..................... 3.1-28 MC33151D .................. 4.2-25 MC33293 .................... 4.9-3
MC1670 ..................... 3.1-24 MC33151P .................. 4.2-25 MC33293T ................... 4.9-4
MC1672 ..................... 3.1-28 MC33152D .................. 4.2-25 MC33293TV .. .. .. .. .. .. .. .... 4.9-4
MC1692 ..................... 3.1-36 MC33152P .................. 4.2-25 MC33298 .............. 4.9-3, 4.9-5
MC1723C .................... 4.2-4 MC33153 ................... 4.2~25 MC33298DW ................. 4.9-5
MC1741C .................... 4.1-2 MC33153D .................. 4.2-25 MC33298P ................... 4.9-5
MCl776C .................... 4.1-2 MC33153P .................. 4.2-25 MC33304 .................... 4.1-5
MC26LS30 ................... 4.6-7 MC33160 .............. 4.2-2, 4.2-5 MC3334 ...................... 4.9-2
MC26LS31 ................... 4.6-7 MC33160DW ................. 4.2-6 MC33340D .................. 4.2-21
MC2681 ..................... 2.2-14 MC33160P ................... 4.2-6 MC33341 ................... 4.2-22
MC2833 ...................... 4.7-3 MC33161 ................... 4.2-20 MC33341 D .................. 4.2-22
MC3301 ...................... 4.1-4 MC33161D .................. 4.2-20 MC33341 P .................. 4.2-22
MC3302 ...................... .4.1-7 MC33161P .................. 4.2-20 MC33344DW ................ 4.2-23
MC33023 ................... 4.2-10 MC33163 ................... 4.2-11 MC33345DTB ............... 4.2-24
MC33025 ................... 4.2-12 MC33164 ................... 4.2-19 MC3335 ...................... 4.7-3
MC3303 ....................... 4.1-4 MC33164D-3 ................ 4.2-19 MC33362 ............ 4.2-11,4.2-13
MC33030DW ................. 4.3-8 MC33164D-5 ................ 4.2-19 MC33362DW ................ 4.2-13
MC33030P ................... 4.3-8 MC33164P-3 ................ 4.2-19 MC33363 ................... 4.2-14
MC33033 ........ 4.3-4,4.3-5,4.3-7 MC33164P-5 ................ 4.2-19 MC33363DW ................ 4.2-14
MC33033DW ................. 4.3-5 MC33166 ................... 4.2-11 MC33368 ............ 4.2-15, 4.2-17
MC33033P ................... 4.3-5 MC33167 ................... 4.2-11 MC33368D .................. 4.2-17
MC33034 .............. 4.3-5, 4.3-6 MC33169 .............. 4.2-5,4.2-7 MC3346 .. .. .. .. .. .. .. .. .. .... 4.8-4
MC33035 .. 4.3-4,4.3-5,4.3-6,4.3-7 MC33169DTB ................ 4.2-7 MC3356 ...................... 4.7-2
MC33035DW ................. 4.3-6 MC33171 .................... 4.1-2 MC3357 ...................... 4.7-2
MC33035P ................... 4.3-6 MC33172 .................. :. 4.1-3 MC3358 . . . .. . . .. .. . . . .. . . .... 4.1-3
MC33039 .................... 4.3-7 MC33174 .................... 4.1-5 MC3359 ...................... 4.7-2
MC33039D ................... 4.3-7 MC33178 .................... 4.1-3 MC3361C .................... 4.7-2
MC33039P ................... 4.3-7 MC33179 .................... 4.1-5 MC3362 ...................... 4.7-3
MC33060A ................... 4.2-9 MC33181 .................... 4.1-2 MC3363 ...................... 4.7-3
MC33063A .................. 4.2-11 MC33182 .................... 4.1-3 MC3371 ...................... 4.7-2
MC33064 ................... 4.2-19 MC33184 .................... 4.1-5 MC3372 . .. . .. .. . .. . .. . . .. .. .. 4.7-2
MC33064D-5 ................ 4.2-19 MC3319 ...................... 4.9-8 MC3372A .................... 4.7-2
MC33064P-5 .. .. .. .. .. .. .... 4.2-19 MC33192 .................... 4.9-3 MC3392 .. . .. .. . . .. .. ... 4.9-3, 4.9-6
MC33065 ................... 4.2-15 MC33192DW ................. 4.9-8 MC3392DW .................. 4.9-6
MC33066 ................... 4.2-12 MC33193 .............. 4.9-3,4.9-8 MC3392T .................... 4.9-6
MC33067 ................... 4.2-12 MC33193D ................... 4.9-8 MC3392T-1 .................. 4.9-6
MC33071 .................... 4.1-2 MC33193P ................... 4.9-8 MC3399 .. . .. . . .. .. .. . .. .. .... 4.9-3
MC33071A ................... 4.1-2 MC33197 .............. 4.9-3,4.9-9 MC3399DW .................. 4.3-4
MC33072 .................... 4.1-3 MC33197D ................... 4.9-9 MC3399T .................... 4.3-4
MC33072A ................... 4.1-3 MC33197P ................... 4.9-9 MC34001 .................... 4.1-2
MC33074 .................... 4.1-4 MC33199 ............. 4.9-3,4.9-10 MC34001B ................... 4.1-2
MC33074A ................... 4.1-4 MC33199D .................. 4.9-10 MC34002 .................... 4.1-3
MC33076 .................... 4.1-3 MC33201 .................... 4.1-2 MC34002B ................... 4.1-3
MC33077 .................... 4.1-3 MC33202 .................... 4.1-4 MC34004 .................... 4.1-4
MC33078 .................... 4.1-3 MC33204 .................... 4.1-5 MC34004B ................... 4.1-4
MC33079 .................... 4.1-5 MC33206 .................... 4.1-4 MC34010 .......•.... 4.7-19,4.7-34
MC33091A ................... 4.9-3 MC33207 .................... 4.1-5 MC34010FN ................. 4.7-19
MC33091AD .................. 4.9-7 MC33218A .... 4.7-27,4.7-29,4.7-34 MC34010P .................. 4.7-19
MC33091AP .................. 4.9-7 MC33218AP ................. 4.7-27 MC34012 ................... 4.7-20
MC33092 .................... 4.9-2 MC33218DW ................ 4.7-27 MC34012-1 .......... 4.7-20,4.7-34
MC33092DW ................ 4.9-11 MC33219A .... 4.7-28,4.7-29,4.7-34 MC34012-2 .......... 4.7-20,4.7-34
MC33093 .................... 4.9-2 MC33219ADW ............... 4.7-28 MC34012-3 .......... 4.7-20,4.7-34
MC33094 .................... 4.9-2 MC33219AP ................. 4.7-28 MC34012D .................. 4.7-20
MC33095 .................... 4.9-3 MC33261 ................... 4.2-15 MC34012P .................. 4.7-20
MC33102 .................... 4.1-3 MC33262 ............ 4.2-15,4.2-16 MC34014 ................... 4.7-34
MC33110 .................... 4.7-35 MC33262D .................. 4.2-16 MC34016 ................... 4.7-34
MC33111 .................... 4.7-35 MC33262P .................. 4.2-16 MC34016DW ................ 4.7-22
MC33120 ............ 4.7-11,4.7-12, MC33267 ........ 4.2-2, 4.2-3, 4.2-5, MC34016P .................. 4.7-22
4.7-15,4.7-34 4.2-6, 4.9-2 MC34017 ................... 4.7~20.
MC33120 FN ................ 4.7-11 MC33267T ................... 4.2-6 MC34017-1 .......... 4.7-20,4.7-34
MC33120P .................. 4.7-11 MC33267TV .................. 4.2-6 MC34017-2 .......... 4.7-20,4.7-34
MC33121 ............ 4.7-11,4.7-34 MC33269 .............. 4.2-5, 4.9-2 MC34017-3 .......... 4.7-20,4.7-34
MC33121FN ................. 4.7-11 MC33269-XX . . . . . . . . . .. 4.2-2, 4.2-3 MC34017D .................. 4.7-20
MC33121P .................. 4.7-11 MC33272A ................... 4.1-3 MC34017P .................. 4.7-20
MC33128 .............. 4.2-5,4.2-7 MC33274A ................... 4.1-5 MC34018 ..... 4.7-25,4.7-29,4.7-34
MC33128D ................... 4.2-7 MC33282 .................... 4.1-3 MC34018DW ................ 4.7-25
MC33129 .................... 4.2-9 MC33284 .................... 4.1-5 MC34018P .................. 4.7-25

Device Index 7.1-10 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MC34023 ................... 4.2-10 MC34164D-5 ................ 4.2-19 MC44200FB .................. 4.8--7
MC34025 ................... 4.2-12 MC34164P-3 ................ 4.2-19 MC44251 ........ 4.5-2,4.8--3,4.8-4,
MC3403 . . . . . . . . . . . . . . . . . . . . .. 4.1-4 MC34164P-5 ................ 4.2-19 4.8--5, 4.8-8, 4.8--18
MC3405 ................ 4.1-6,4.1-7 MC34166 ................... 4.2-11 MC44251 FN .................. 4.8-8
MC34050 .................... 4.6--7 MC34167 ................... 4.2-11 MC44301 .............. 4.8-3, 4.8--5,
MC34051 .................... 4.6--7 MC3418 .............. 4.7-33,4.7-35 4.8--9, 4.8-18
MC34055 .................... 4.6--8 MC3418DW ................. 4.7-33 MC44302 ........ 4.8--3, 4.8-5, 4.8--9,
MC34055DW ................. 4.6--3 MC3418P ................... 4.7-33 4.8--10,4.8-18
MC34058 .............. 4.6-4,4.6--7 MC34181 .................... 4.1-2 MC44302DW ................ 4.8--10
MC34058FTA . . . . . . . . . . . . . . . .. 4.6-4 MC34182 .................... 4.1-3 MC44302P .................. 4.8--10
MC34059 .............. 4.6-4,4.6--7 MC3~64 .................... ~1-4 MC44361 ............. 4.8--5,4.8--18
MC34059FTA . . . . . . . . . . . . . . . .. 4.6-4 MC3419 ..................... 4.7-12 MC44362 ............. 4.8--5, 4.8--18
MC34060A ................... 4.2-9 MC3419-1 .................. 4.7-34 MC44365 ............. 4.8--5,4.8-18
MC34063A .................. 4.2-11 MC34216 ................... 4.7-34 MC44460 . . ..... 4.8-3, 4.8--5, 4.8-9,
MC34064 ................... 4.2-19 MC34216DW ................ 4.7-23 4.8--11, 4.8--18
MC34064D-5 . . . . . . . . . . . . . . .. 4.2-19 MC34217 ................... 4.7-34 MC44460B .................. 4.8-11
MC34064P-5 ................ 4.2-19 MC34217D .................. 4.7-21 MC44461 ....... 4.8--5,4.8--9,4.8--18
MC34065 ................... 4.2-15 MC34217P .................. 4.7-21 MC44462 ....... 4.8-5, 4.8--9, 4.8--18
MC34066 ................... 4.2-12 MC3423D ................... 4.2-18 MC44602 ................... 4.2-10
MC34067 ................... 4.2-12 MC3423P1 .................. 4.2-18 MC44603 .................... 4.8--9
MC34071 .................... 4.1-2 MC3425 . . . . . . . . . . . . . . . . . . . .. 4.2-18 MC44605 .................... 4.8--9
MC34071A ................... 4.1-2 MC3425P1 .................. 4.2-18 MC44702 .................... 4.8--5
MC34072 .................... 4.1-3 MC34250 .................... 4.6--8 MC44817 ........ 4.8-4,4.8--5,4.8--9,
MC34072A ................... 4.1-3 MC34250FTA ........... : . . . .. 4.6--5 4.8--18, 4.8-19, 4.8-20, 4.8--22
MC34074 .................... 4.1-4 MC34261 ................... 4.2-15 MC44817B ............ 4.8-4,4.8--19
MC34074A ................... 4.1-4 MC34262 ............ 4.2-15,4.2-16 MC44817BD ................. 4.8--19
MC34080B ................... 4.1-2 MC34262D .................. 4.2-16 MC44817D .................. 4.8--19
MC34081B ................... 4.1-2 MC34262P .................. 4.2-16 MC44818 ........ 4.8-4,4.8--5, 4.8--9,
MC34082 .................... 4.1-3 MC34268 ........ 4.2-2, 4.2-3, 4.2-8 4.8--18,4.8--20,4.8--22
MC34083B ................... 4.1-3 MC34268D .... . . . . . . . . . . . . . .. 4.2-8 MC44818D .................. 4.8--20
MC34084 .................... 4.1-4 MC34268DT . . . . . . . . . . . . . . . . .. 4.2-8 MC44824 ........ 4.8-4, 4.8--5, 4.8--9,
MC34085B ................... 4.1-4 MC34270 ................... 4.2-15 4.8-18,4.8-21
MC34114 .................... 4.7-34 MC34271 ................... 4.2-15 MC44824D .... . . . . . . . . . . . . .. 4.8--21
MC34114DW ................ 4.7-21 MC3430 ...................... 4.1-7 MC44825 ........ 4.8-4, 4.8--5, 4.8--9,
MC34114P .................. 4.7-21 MC3431 ...................... 4.1-7 4.8-18,4.8--21
MC34115 ............. 4.7-33,4.7-35 MC3432 ...................... 4.1-7 MC44825D .... . . . . . . . . . . . . .. 4.8--21
MC34115DW ................ 4.7-33 MC3433 ...................... 4.1-7 MC44826 ....... 4.8--5, 4.8-9, 4.8--18
MC34115P .................. 4.7-33 MC3456P ................... 4.10-2 MC44827 ........ 4.8-4, 4.8--5, 4.8--9,
MC34117 .................... 4.7-20 MC3458 . . . . . . . . . . . . . . . . . . . . .. 4.1-3 4.8--18, 4.8--22
MC34118 ...... 4.7-26,4.7-29,4.7-34 MC3476 ...................... 4.1-2 MC44827DTB ............... 4.8--22
MC34118DW ................ 4.7-26 MC3479 . . . . . . . . . . . . . . . . . . . . .. 4.3--9 MC44828 ........ 4.8-4, 4.8--5, 4.8--9,
MC34118P .................. 4.7-26 MC3479FN ................... 4.3--9 4.8--18, 4.8--22
MC34119 ............ 4.7-26,4.7-27, MC3479P .................... 4.3--9 MC44828DTB ............... 4.8-22
4.7-28,4.7-29,4.7-34,4.8--2 MC3486 . . . . . . . . . . . . . . . . . . . . .. 4.6--7 MC44829 ........ 4.8-4, 4.8--5, 4.8--9,
MC34119D .................. 4.7-30 MC3487 ...................... 4.6--7 4.8--18, 4.8--23
MC34119P .................. 4.7-30 MC4016 .............. 3.1-20,4.7-37 MC44829D .... . . . . . . . . . . . . .. 4.8-23
MC34129 ...... 4.2-9,4.7-15,4.7-35 MC4018 .............. 3.1-20,4.7-37 MC44864 .............. 4.8-4, 4.8--5,
MC34129D .................. 4.7-30 MC4024 .............. 3.1-33,4.7-37 4.8--9,4.8--18
MC34129P .................. 4.7-30 MC4044 .............. 3.1-21,4.7-37 MC4558AC ................... 4.1-3
MC34142 .................... 4.6-3 MC4316 .............. 3.1-20,4.7-37 MC4558C .................... 4.1-3
MC34142DW ................. 4.6-3 MC4324 .............. 3.1-34,4.7-37 MC4741C ............•....... 4.1-4
MC34142FN .................. 4.6--3 MC4344 .............. 3.1-21,4.7-37 MC54HCTOOA ............... 3.1-26
MC34151 ................... 4.2-25 MC44000 .............. 4.8--6, 4.8-9 MC54HCT08A ............... 3.1-26
MC34151D .................. 4.2-25 MC44001 .................... 4.8--3 MC54HCT14A ............... 3.1-36
MC34151P .................. 4.2-25 MC44002 ....... 4.8-3,4.8-9,4.8--12 MC54HCT161A .............. 3.1-20
MC34152 ................... 4.2-25 MC44002P .................. 4.8--12 MC54HCT163A .............. 3.1-20
MC34152D .................. 4.2-25 MC44007 .................... 4.8--9 MC54HCT241A .............. 3.1-16
MC34152P .................. 4.2-25 MC44011 .. 4.8-3, 4.8--5, 4.8-6, 4.8--18 MC54HCT244A .............. 3.1-16
MC34160 .............. 4.2-5,4.2-6 MC44011 FB .................. 4.8-6 MC54HCT245A .............. 3.1-15
MC34160DW ................. 4.2-6 MC44011 FN .................. 4.8-6 MC54HCT32A ............... 3.1-29
MC34160P ................... 4.2-6 MC44131 .................... 4.8--9 MC54HCT373A .............. 3.1-30
MC34161 ................... 4.2-20 MC44140 .............. 4.8-3,4.8--5, MC54HCT374A .............. 3.1-24
MC34161D .................. 4.2-20 4.8--9, 4.8--12 MC54HCT574A .............. 3.1-25
MC34161P .................. 4.2-20 MC44144 .................... 4.8-4 MC54HCOOA ................ 3.1-26
MC34163 ................... 4.2-11 MC44145 ........ 4.8--3, 4.8-4, 4.8--5 MC54HC02A ................ 3.1-28
MC34164 ................... 4.2-19 MC44200 ........ 4.5-3, 4.8--3, 4.8-4, MC54HC04A ................ 3.1-29
MC34164D-3 ................ 4.2-19 4.8--5, 4.8--7 MC54HC08A ................ 3.1-26

Motorola Master Selection Guide 7.1-11 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MCS4HC132A ............... 3.1-36 MC669 . . . . . . . . . . . . . . . . . . . . .. 3.1-22 MCS8HCOSP1A ............... 2.5-8
MCS4HC138A ............... 3.1-21 MC670 . . . . . . . . . . . . . . . . . . . . .. 3.1-30 MCS8HCOSP3 ................ 2.5-8
MC54HC139A ............... 3.1-21 MC671 ...................... 3.1-30 MCS8HC05P4 ......... 2.5-8, 2.6-24
MCS4HC14A ................ 3.1-36 MC672 ...................... 3.1-30 MC68HCOSP6 ......... 2.5-8, 2.6-24
MCS4HC1S4 ................. 3.1-21 MC677 ...................... 3.1-29 MC68HCOSP7 ......... 2.5-8, 2.6-24
MCS4HC1S7A ............... 3.1-32 MC678 ...................... 3.1-29 MC68HC05P8 ......... 2.5-8, 2.6-24
MCS4HC1S8 ................. 3.1-32 MC68BOO ................... 2.6-10 MC68HC05P9 ......... 2.5-8, 2.6-24
MC54HC160 ................. 3.1-20 MC68B09 ................... 2.6-10 MC68HC05SC11 .............. 2.5-8
MC54HC161A ............... 3.1-20 MC68B09E .................. 2.6-10 MC68HC05SC21 .............. 2.5-8
MCS4HC162 ................. 3.1-20 MC68B10 ................... 2.6-10 MC68HC05SC24 .. .. .. .. .. .... 2.5-8
MC54HC163A ............... 3.1-20 MC68B21 ................... 2.6-10 MC68HC05SC27 .. .. .. .. .. .... 2.5-8
MCS4HC164 ................. 3.1-37 MC68B40 ................... 2.6-10 MC68HC05T1 ......... 2.5-8, 2.6-24
MC54HC16S ................. 3.1-37 MC68B44 ................... 2.6-10 MC68HC05T10 ........ 2.5-8,2.6-24
MC54HC174A ............... 3.1-24 MC68B488 .................. 2.6-10 MC68HC05T16 ............... 2.5-9
MCS4HC17S . . . . . . . . . . . . . . . .. 3.1-2S MC68BSO ................... 2.6-10 MC68HC05T7 ............... 2.6-24
MC54HC17SA ............... 3.1-2S MC68BS2 ................... 2.6-10 MC68HC05X1 ................ 2.5-9
MCS4HC240A ............... 3.1-16 MC68BS4 ................... 2.6-10 MC68HC05X16 ............... 2.5-9
MCS4HC241A ............... 3.1-16 MC68ECOOO ................. 2.2-12 MC68HC05X32 ............... 2.5-9
MC54HC244A ............... 3.1-16 MC68EC020 ................. 2.2-13 MC68HC05X4 ................ 2.5-9
MCS4HC245A ............... 3.1-16 MC68EC030 ................. 2.2-13 MC68HC11AO ................ 2.6-6
MC54HC2S1 ................. 3.1-31 MC68EC040 ................. 2.2-13 MC68HC11A1 ......... 2.6-6,2.6-24
MC54HC2S9 ................. 3.1-21 MC68F333 ................. ; 2.6-2S MC68HC11A7 ................ 2.6-6
MCS4HC27 .................. 3.1-28 MC68HCB34 ................ 2.6-10 MC68HC11A8 ......... 2.6-6,2.6-24
MCS4HC273A ............... 3.1-24 MC68HCOOO . . . . . . . . . . . . . . . .. 2.2-12 MC68HC11D0 ......... 2.6-6,2.6-24
MC54HC32A ................ 3.1-29 MC68HC001 ................. 2.2-12 MC68HC11D3 ......... 2.6-6,2.6-24
MCS4HC3S4 ................. 3.1-32 MC68HCOS .................. 4.7-16 MC68HC11EDO ............... 2.6-6
MC54HC36S ................. 3.1-1S MC68HCOSBD3 . . . . . . . . . . . . . .. 2.S-6 MC68HC11EO ......... 2.6-6,2.6-24
MCS4HC366 . . . . . . . . . . . . . . . .. 3.1-1S MC68HCOSB16 ........ 2.5-6,2.6-23 MC68HC11E1 ......... 2.6-6,2.6-24
MCS4HC367 ................. 3.1-1S MC68HCOSB4 ......... 2.S-6, 2.6-23 MC68HC11E2 ............... 2.6-24
MCS4HC373A ............... 3.1-31 MC68HCOSB6 ......... 2.S-6, 2.6-23 MC68HC11E8 ................ 2.6-6
MCS4HC374A ............... 3.1-2S MC68HCOSB8 ......... 2.5-6, 2.6-23 MC68HC11E9 ......... 2.6-6,2.6-24
MCS4HC390 ................. 3.1-20 MC68HCOSCC1 ............... 2.5-6 MC68HC11F1 ......... 2.6-6,2.6-25
MC54HC393 ................. 3.1-20 MC68HCOSCC2 .. .. .. .. .. .. ... 2.S-6 MC68HC11GS ............... 2.6-25
MCS4HC4016 ............... 3.1-33 MCS8HC05CJ4 ............... 2.5-6 MCS8HC11G7 ............... 2.6-25
MCS4HC4040A .............. 3.1-19 MCS8HCOSC12 ........ 2.5-6,2.6-23 MCS8HC11KAO ............... 2.6-7
MCS4HC4049 ............... 3.1-29 MCS8HCOSC4 ............... 2.6-23 MC68HC11KA1 ............... 2.6-7
MCS4HC40S0 ............... 3.1-29 MCS8HCOSC4A ........ 2.5-6, 2.6-23 MC68HC11KA3 ............... 2.6-7
MCS4HC40S1 ............... 3.1-31 MCS8HCOSCS ......... 2.5-6, 2.6-23 MC68HC11 KA4 ........ 2.6-7,2.6-25
MCS4HC40S3 ............... 3.1-33 MC68HCOSC8 ............... 2.6-23 MC68HC11KO ......... 2.6-7,2.6-25
MCS4HC4060 ............... 3.1-19 MC68HCOSC8A .. .. .. .. .. .. ... 2.5-6 MCS8HC11K1 ......... 2.6-7,2.6-25
MCS4HC4060A .............. 3.1-19 MCS8HCOSC9 ............... 2.6-23 MCS8HC11K3 ................ 2.6-7
MCS4HC4066 ............... 3.1-33 MCS8HCOSC9A .. .. .. .. .. .. ... 2.S-6 MCS8HC11K4 ......... 2.6-7,2.S-25
MCS4HC4351 ............... 3.1-31 MCS8HCOSD24 ........ 2.5-6, 2.S-23 MC68HC11LO .......... 2.6-7,2.6-25
MCS4HC4353 ............... 3.1-33 MC68HCOSD9 ......... 2.5-6, 2.6-23 MCS8HC11L1 .......... 2.6-7,2.6-25
MCS4HC4S38A .............. 3.1-33 MCS8HCOSE1 ......... 2.S-6, 2.6-23 MCS8HC11L5 ................. 2.6-7
MCS4HCS33A ............... 3.1-31 MCS8HCOSES ................ 2.S-6 MCS8HC11LS .......... 2.6-8,2.6-25
MC54HCS34A ............... 3.1-24 MCS8HCOSFS ................ 2.5-7 MCS8HC11 M2 ......... 2.6-8,2.6-25
MCS4HCS40A ............... 3.1-16 MC68HCOSFS ................ 2.S-7 MCS8HC11N4 ............... 2.6-25
MC54HC541A ............... 3.1-16 MC68HCOSF8 ................ 2.5-7 MCS8HC11P2 ............... 2.6-25
MCS4HCS63 ................. 3.1-31 MC68HCOSG1 ......... 2.5-7,2.6-23 MCS8HC1SY1 ........ 2.6-18,2.6-25
MCS4HCS73A ............... 3.1-31 MCS8HCOSG3 ................ 2.5-7 MCS8HC1SZ1 ........ 2.6-18,2.6-25
MCS4HCS74A ............... 3.1-2S MCS8HCOSJ1 ................ 2.6-23 MC68HC16Z2 ........ 2.6-18,2.6-25
MC54HC589 ................. 3.1-37 MCS8HCOSJ1A ............... 2.5-7 MCS8HC24 .................. 2.6-10
MC54HCS9SA ............... 3.1-37 MCS8HC05J3 ................. 2.5-7 MCS8HC27 .. .. .. .. .. .. .. .... 2.6-10
MCS4HCS97 . . . . . . . . . . . . . . . .. 3.1-37 MC68HCOSKO ................ 2.5-7 MC68HC68L9 ............... 2.6-11
MC54HC640A ............. ;. 3.1-16 MCS8HCOSK1 ................ 2.S-7 MCS8HC705BD3 ............. 2.5-10
MCS4HC646 . . . . . . . . . . . . . . . .. 3.1-16 MCS8HCOSL1 ................ 2.5-7 MCS8HC705B16 ...... 2.5-10,2.6-23
MCS4HC688 . . . . . . . . . . . . . . . .. 3.1-18 MC68HCOSL10 ........ 2.5-7,2.6-24 MCS8HC70SBS ....... 2.5-10,2.6-23
MCS4HC74A ................ 3.1-23 MCS8HCOSL11 ............... 2.5-8 MCS8HC70SCJ4 ............. 2.5-10
MCS4HC86 .................. 3.1-28 MCS8HCOSL1S ............... 2.S-8 MC68HC70SC4A . . . . . . . . . . . .. 2.5-10
MC660 ...................... 3.1-22 MCS8HCOSL2 ................ 2.5-7 MCS8HC705C8 .............. 2.6-23
MC661 ...................... 3.1-22 MCS8HCOSL5 ......... 2.5-7,2.6-24 MC68HC705C8A ............. 2.5-10
MC662 ...................... 3.1-22 MC68HCOSL7 ......... 2.5-7,2.6-24 MC68HC705C9A ............. 2.5-10
MC663 ...................... 3.1-23 MCS8HCOSL9 ......... 2.5-7,2.6-24 MCS8HC705D9 .............. 2.6-23
MC664 . . . . . . . . . . . . . . . . . . . . .. 3.1-24 MCS8HCOSM4 .... .. .. .. .. .... 2.5-8 MCS8HC705E1 ....... 2.5-10,2.6-23
MC667 . . . . . . . . . . . . . . . . . . . . .. 3.1-33 MCS8HCOSPEO ............... 2.5-8 MC68HC70SF8 .............. 2.5-10
MC668 ...................... 3.1-30 MCS8HCOSP1 ............... 2.6-24 MC68HC70SG1 .............. 2.5-10

Device Index 7.1-12 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MC68HC705G4 .............. 2.5-10 MC68701 U4 .......... 2.6-10, 2.6-23 MC74ACT574 ............... 3.1-25
MC68HC705J1A ............. 2.5-10 MC68824 ................... 2.2-14 MC74ACT620 ............... 3.1-15
MC68HC705J2 ....... 2.5-10, 2.6-23 MC68836 ................... 2.2-14 MC74ACT623 ............... 3.1-15
MC68HC705K1 .............. 2.5-11 MC68837 ................... 2.2-14 MC74ACT640 ............... 3.1-15
MC68HC705l16 ............. 2.5-11 MC68838 ................... 2.2-14 MC74ACT643 ............... 3.1-15
MC68HC705L5 ....... 2.5-11, 2.6-24 MC68839 ................... 2.2-14 MC74ACT646 ............... 3.1-16
MC68HC705P6 .............. 2.5-11 MC68851 ................... 2.2-14 MC74ACT648 ............... 3.1-16
MC68HC705P9 .............. 2.5-11 MC68881 ................... 2.2-14 MC74ACT652 ............... 3.1-16
MC68HC705Tl0 ............. 2.5-11 MC68882 ................... 2.2-14 MC74ACT74 ................. 3.1-23
MC68HC705T16 ............. 2.5-11 MC68901 ................... 2.2-14 MC74ACT810 ............... 3.1-27
MC68HC705X16 ............. 2.6-24 MC74ACTOO ................. 3.1-26 MC74ACT86 ................. 3.1-28
MC68HC711D3 .............. 2.6-24 MC74ACT02 ................. 3.1-28 MC74ACOO .................. 3.1-26
MC68HC711E9 .............. 2.6-24 MC74ACT04 ................. 3.1-29 MC74AC02 .................. 3.1-28
MC68HC711G5 .............. 2.6-25 MC74ACT05 ................. 3.1-29 MC74AC04 .................. 3.1-29
MC68HC711 K4 .............. 2.6-25 MC74ACT08 ................. 3.1-26 MC74AC05 .................. 3.1-29
MC68HC711L6 .............. 2.6-25 MC74ACT10 ................. 3.1-26 MC74AC08 .................. 3.1-26
MC68HC711M2 .............. 2.6-25 MC74ACT109 ............... 3.1-24 MC74AC10 .................. 3.1-26
MC68HC711N4 .............. 2.6-25 MC74ACTll ................. 3.1-26 MC74AC109 ................. 3.1-24
MC68HC711P2 .............. 2.6-25 MC74ACTl12 ................ 3.1-23 MC74ACll .................. 3.1-26
MC68HC811A8 .............. 2.6-24 MC74ACTl13 ................ 3.1-23 MC74ACl12 ................. 3.1-23
MC68HC811 E2 ........ 2.6-6, 2.6-24 MC74ACT125 ............... 3.1-17 MC74AC113 ................. 3.1-23
MC68LC040 ................. 2.2-13 MC74ACT126 ............... 3.1-17 MC74AC125 ................. 3.1-17
MC68000 ............ 2.2-12,4.7-17 MC74ACT132 ............... 3.1-36 MC74AC126 ................. 3.1-17
MC6801 .............. 2.6-10,2.6-23 MC74ACT138 ............... 3.1-21 MC74AC132 ................. 3.1-36
MC6801U4 ........... 2.6-10,2.6-23 MC74ACT139 ............... 3.1-21 MC74AC138 ................. 3.1-21
MC68010 ................... 2.2-12 MC74ACT14 ................. 3.1-36 MC74AC139 ................. 3.1-21
MC6802 ..................... 2.6-10 MC74ACT151 ............... 3.1-31 MC74AC14 .................. 3.1-36
MC68020 ................... 2.2-13 MC74ACT153 ............... 3.1-32 MC74AC151 ................. 3.1-31
MC6803 .............. 2.6-10,2.6-23 MC74ACT157 ............... 3.1-33 MC74AC153 ................. 3.1-32
MC6803U4 ........... 2.6-10,2.6-23 MC74ACT158 ............... 3.1-33 MC74AC157 ................. 3.1-33
MC68030 ................... 2.2-13 MC74ACT160 ............... 3.1-20 MC74AC158 ................. 3.1-33
MC68040 ................... 2.2-13 MC74ACT161 ............... 3.1-20 MC74AC160 ................. 3.1-20
MC68040V .................. 2.2-13 MC74ACT162 ............... 3.1-20 MC74AC161 ................. 3.1-20
MC6805P2 .................. 2.6-11 MC74ACT163 ............... 3.1-20 MC74AC162 ................. 3.1-20
MC6805P6 .................. 2.6-11 MC74ACT174 ............... 3.1-24 MC74AC163 ................. 3.1-20
MC6805R2 ........... 2.6-11, 2.6-25 MC74ACT175 ............... 3.1-25 MC74AC174 ................. 3.1-24
MC6805R3 ........... 2.6-11, 2.6-25 MC74ACT194 ............... 3.1-37 MC74AC175 ................. 3.1-25
MC6805R6 .................. 2.6-11 MC74ACT20 ................. 3.1-25 MC74AC190 ................. 3.1-21
MC6805S2 .................. 2.6-11 MC74ACT240 ............... 3.1-16 MC74AC194 ................. 3.1-37
MC6805S3 .................. 2.6-11 MC74ACT241 ............... 3.1-16 MC74AC20 .................. 3.1-25
MC6805U2 .................. 2.6-11 MC74ACT244 ............... 3.1-16 MC74AC240 ................. 3.1-16
MC6805U3 .................. 2.6-11 MC74ACT245 ............... 3.1-15 MC74AC241 ................. 3.1-16
MC68060 ................... 2.2-13 MC74ACT251 ............... 3.1-32 MC74AC244 ................. 3.1-16
MC68150*33 ................ 3.1-15 MC74ACT253 ............... 3.1-32 MC74AC245 ................. 3.1-15
MC68150*40 ................ 3.1-15 MC74ACT256 ............... 3.1-30 MC74AC251 ................. 3.1-32
MC68160 .............. 4.6-2,4.6-8 MC74ACT257 ............... 3.1-33 MC74AC253 ................. 3.1-32
MC68160FB .................. 4.6-2 MC74ACT258 ............... 3.1-33 MC74AC256 ................. 3.1-30
MC68184 ................... 2.2-13 MC74ACT259 ............... 3.1-30 MC74AC257 ................. 3.1-33
MC68185 ................... 2.2-13 MC74ACT273 ............... 3.1-24 MC74AC258 ................. 3.1-33
MC68194 ............ 2.2-13,3.1-17 MC74ACT299 ............... 3.1-37 MC74AC259 ................. 3.1-30
MC68195 ................... 2.2-13 MC74ACT32 ................. 3.1-29 MC74AC273 ................. 3.1-24
MC68230 ................... 2.2-13 MC74ACT323 ............... 3.1-37 MC74AC299 ................. 3.1-37
MC68302 ..... 2.2-13,4.7-15,4.7-17 MC74ACT350 ............... 3.1-37 MC74AC32 .................. 3.1-29
MC68306 ................... 2.2-13 MC74ACT352 ............... 3.1-32 MC74AC323 ................. 3.1-37
MC68330 ................... 2.2-13 MC74ACT353 ............... 3.1-32 MC74AC350 ................. 3.1-37
MC68331 ..... 2.2-13,2.6-21,2.6-25 MC74ACT373 ............... 3.1-31 MC74AC352 . . . . . . . . . . . . . . . .. 3.1-32
MC68332 ..... 2.2-13,2.6-21,2.6-25 MC74ACT374 ............... 3.1-25 MC74AC353 ................. 3.1-32
MC68340 ................... 2.2-13 MC74ACT377 ............... 3.1-24 MC74AC373 ................. 3.1-31
MC68340V .................. 2.2-13 MC74ACT378 ............... 3.1-23 MC74AC374 ................. 3.1-24
MC68360 ....... 2.2-13,4.6-2,4.6-8 MC74ACT521 ............... 3.1-18 MC74AC377 ................. 3.1-24
MC68440 ................... 2.2-13 MC74ACT533 ............... 3.1-31 MC74AC378 ................. 3.1-23
MC6845 ..................... 2.6-10 MC74ACT534 ............... 3.1-25 MC74AC4020 ................ 3.1-19
MC68450 ................... 2.2-13 MC74ACT540 ............... 3.1-16 MC74AC4040 ................ 3.1-19
MC68605 ................... 2.2-13 MC74ACT541 ............... 3.1-16 MC74AC533 ................. 3.1-31
MC68606 ................... 2.2-13 MC74ACT563 ............... 3.1-30 MC74AC534 ................. 3.1-25
MC68681 ................... 2.2-14 MC74ACT564 ............... 3.1-25 MC74AC540 ................. 3.1-16
MC68701 ............ 2.6-10,2.6-23 MC74ACT573 ............... 3.1-31 MC74AC541 ................. 3.1-16

Motorola Master Selection Guide 7.1-13 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MC74AC563 ............•.... 3.1-30 MC74F32 .................... 3.1-29 MC74HCT541A .............. 3.1-16
MC74AC564 ................. 3.1-25 MC74F323 .................. 3.1-37 MC74HCT573A .............• 3.1-31
MC74AC573 ................. 3.1-30 MC74F350 .................. 3.1-37 MC74HCT574A ...•........•. 3.1-25
MC74AC574 ................. 3.1-25 MC74F352 .................. 3.1-32 MC74HCT74A ..........•..•• 3.1-23
MC74AC620 ................. 3.1-15 MC74F353 .................. 3.1-32 MC74HCU04 ........•....... 3.1-29
MC74AC623 ................. 3.1-15 MC74F365 .................. 3.1-15 MC74HCOOA ................ 3.1-26
MC74AC640 ................. 3.1-15 MC74F366 .................. 3.1-15 MC74HC02A ................ 3.1-28
MC74AC643 ................. 3.1-15 MC74F367 .................. 3.1-15 MC74HC03A ................ 3.1-26
MC74AC646 ................. 3.1-16 MC74F368 .................. 3.1-15 MC74HC04A ..........•....• 3.1-29
MC74AC648 ................. 3.1-16 MC74F37 ................... 3.1-26 MC74HC08A ..........•..... 3.1-26
MC74AC652 ................. 3.1-16 MC74F373 .................. 3.1-31 MC74HC10 ...........•...... 3.1-26
MC74AC74 .................. 3.1-23 MC74F374 .................. 3.1-24 MC74HC107 ................. 3.1-23
MC74AC810 ................. 3.1-27 MC74F377 .................. 3.1-24 MC74HC109 ................. 3.1-24
MC74AC86 .................. 3.1-27 MC74F378 .................. 3.1-36 MC74HC11 .................. 3.1-26
MC74FOO ................... 3.1-26 MC74F379 .................. 3.1-25 MC74HC112 ................. 3.1-23
MC74F02 ................... 3.1-28 MC74F38 ................... 3.1-26 MC74HC125A ..............• 3.1-17
MC74F04 ................... 3.1-29 MC74F381 .................. 3.1-14 MC74HC126A ......•.....•.. 3.1-17
MC74F08 ................... 3.1-26 MC74F382 .................. 3.1-14 MC74HC132A ........•...... 3.1-36
MC74F10 ................... 3.1-26 MC74F3893A ................ 3.1-38 MC74HC133 ................. 3.1-25
MC74F109 .................. 3.1-24 MC74F398 .................. 3.1-33 MC74HC137 ................. 3.1-21
MC74F11 ................... 3.1-26 MC74F399 .................. 3.1-33 MC74HC138A ............... 3.1-21
MC74F112 .................. 3.1-24 MC74F40 ................... 3.1-25 MC74HC139A ............... 3.1-21
MC74F1245 ................. 3.1-15 MC74F51 ................... 3.1-27 MC74HC14A .....•.......... 3.1-36
MC74F125 .................. 3.1-17 MC74F521 .................. 3.1-18 MC74HC147 ....•...•.....•.. 3.1-22
MC74F126 .................. 3.1-17 MC74F533 .................. 3.1-31 MC74HC151 ....•............ 3.1-31
MC74F13 ................... 3.1-36 MC74F534 .................. 3.1-25 MC74HC153 ........•........ 3.1-32
MC74F132 .................. 3.1-36 MC74F537 .................. 3.1-21 MC74HC154 ......•.......... 3.1-21
MC74F138 .................. 3.1-21 MC74F538 .................. 3.1-21 MC74HC157A ....•.......... 3.1-32
MC74F139 .................. 3.1-21 MC74F539 ................ :. 3.1-21 MC74HC158 .....••...•.•..•• 3.1-32
MC74F14 ................... 3.1-36 MC74F543 .................. 3.1-16 MC74HC160 ...•.....••....•• 3.1-20
MC74F148 .................. 3.1-22 MC74F544 .................. 3.1-16 MC74HC161A ...•........... 3.1-20
MC74F151 .................. 3.1-31 MC74F568 .................. 3.1-19 MC74HC162 ..•..........•... 3.1-20
MC74F153 .................. 3.1-32 MC74F569 .................. 3.1-19 MC74HC163 ................. 3.1-20
MC74F157A ................. 3.1-32 MC74F574 .................. 3.1-24 MC74HC164 ................. 3.1-37
MC74F158A ................. 3.1-32 MC74F579 .................. 3.1-19 MC74HC165 ...............•. 3.1-37
MC74F160A ................. 3.1-19 MC74F620 .................. 3.1-16 MC74HC173 ..........•...... 3.1-25
MC74F161A ................. 3.1-19 MC74F623 .................. 3.1-16 MC74HC174A ........•....•. 3.1-24
MC74F162A ................. 3.1-19 MC74F64 ................... 3.1-27 MC74HC175 ................. 3.1-25
MC74F163A ................. 3.1-19 MC74F640 .................. 3.1-16 MC74HC175A ......•.....•.. 3.1-25
MC74F164 .................. 3.1-37 MC74F646 .................. 3.1-16 MC74HC194 ..•......•....... 3.1-37
MC74F168 .................. 3.1-19 MC74F657A ................. 3.1-15 MC74HC195 .•............... 3.1-37
MC74F169 ....... ; .......... 3.1-19 MC74F657B ................. 3.1-15 MC74HC20 ........•.......•. 3.1-25
MC74F174 .................. 3.1-24 MC74F74 ................... 3.1-23 MC74HC237 ...•••.•.•.....•. 3.1-21
MC74F175 .................. 3.1-25 MC74F779 .................. 3.1-19 MC74HC240A ..•••.....•.... 3.1-16
MC74F1803 ................. 3.1-17 MC74F803 .................. 3.1-17 MC74HC241A ........•....•. 3.1-16
MC74F181 .................. 3.1-14 MC74F823 .................. 3.1-15 MC74HC242 .........•....... 3.1-17
MC74F182 .................. 3.1-14 MC74F827 .................. 3.1-14 MC74HC244A ...........•... 3.1-16
MC74F194 .................. 3.1-37 MC74F828 .................. 3.1-14 MC74HC245A .....•......... 3.1-16
MC74F195 .................. 3.1-37 MC74F85 ................... 3.1-18 MC74HC251 •................ 3.1-31
MC74F20 ................... 3.1-25 MC74F86 ................... 3.1-28 MC74HC253 ................. 3.1-32
MC74F21 ................... 3.1-25 MC74HCTOOA ............... 3.1-26 MC74HC257 ..•...••......... 3.1-32
MC74F240 .............•.... 3.1-15 MC74HCT04A ............... 3.1-29 MC74HC259 ..•.............. 3.1-21
MC74F241 .................. 3.1-15 MC74HCT08A ............... 3.1-26 MC74HC27 .................. 3.1-28
MC74F242 .................. 3.1-17 MC74HCT138A .............. 3.1-21 MC74HC273A ............... 3.1-24
MC74F243 .................. 3.1-17 MC74HCT14A ............... 3.1-36 MC74HC280 ..............•.. 3.1-34
MC74F244 .................. 3.1-15 MC74HCT157A .............. 3.1-32 MC74HC299 ................. 3.1-37
MC74F245 .................. 3.1-15 MC74HCT161A .............. 3.1-20 MC74HC30 ............•..•.. 3.1-25
MC74F251 .................. 3.1-31 MC74HCT163A .............. 3.1-20 MC74HC32A ................ 3.1-29
MC74F253 .................. 3.1-32 MC74HCT174A .............. 3.1-24 MC74HC354 ...............•. 3.1-32
MC74F256 .................. 3.1-30 MC74HCT240A .............. 3.1-16 MC74HC365 .............•... 3.1-15
MC74F257A ................. 3.1-33 MC74HCT241A .............. 3.1-16 MC74HC366 ................. 3.1-15
MC74F258A ................. 3.1-33 MC74HCT244A .............. 3.1-16 MC74HC367 ............•..•. 3.1-15
MC74F259 .................. 3.1-30 MC74HCT245A .............. 3.1-15 MC74HC368 ...........•..... 3.1-15
MC74F269 .................. 3.1-19 MC74HCT273A .............. 3.1-24 MC74HC373A .......•...•.•. 3.1-31
MC74F280 •................. 3.1-34 MC74HCT32A ............... 3.1-29 MC74HC374A .......•......• 3.1-25
MC74F283 .................. 3.1-14 MC74HCT373A .............. 3.1-30 MC74HC390 ..............•.. 3.1-20
MC74F299 .................. 3.1-37 MC74HCT374A .........•.... 3.1-24 MC74HC393 ................. 3.1-20

Device Index 7.1-14 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MC74HC4002 ............... 3.1-28 MC74LVQ245 ................ 3.1-38 MC88915T*55 ............... 3.1-18
MC74HC4016 ............... 3.1-33 MC74LVQ373 ................ 3.1-30 MC88915T*70 ............... 3.1-18
MC74HC4017 ............... 3.1-20 MC74LVQ374 ................ 3.1-24 MC88915*55 ................ 3.1-18
MC74HC4020A .............. 3.1-19 MC74LVQ541 ................ 3.1-14 MC88915*70 ................ 3.1-18
MC74HC4024 ............... 3.1-34 MC74LVQ573 ................ 3.1-30 MC88916*70 ................ 3.1-18
MC74HC4040A .............. 3.1-19 MC74LVQ574 ................ 3.1-24 MC88916*80 ................ 3.1-18
MC74HC4046A .............. 3.1-39 MC74LVQ646 ................ 3.1-38 MC88920 ................... 3.1-17
MC74HC4049 ............... 3.1-29 MC74LVQ652 ................ 3.1-38 MC88921 ................... 3.1-17
MC74HC4050 ............... 3.1-29 MC75174B ................... 4.6-7 MC936 . . . . . . . . . . . . . . . . . . . . .. 3.1-29
MC74HC4051 ............... 3.1-31 MC78LXXAB ................. 4.2-2 MC937 ...................... 3.1-29
MC74HC4052 ............... 3.1-32 MC78LXXAC ................. 4.2-2 MC944 ...................... 3.1-22
MC74HC4053 ............... 3.1-33 MC78LXXC . . . . . . . . . . . . . . . . . .. 4.2-2 MC945 ...................... 3.1-23
MC74HC4060 ............... 3.1-19 MC78L24AB . . . . . . . . . . . . . . . . .. 4.2-2 MC946 ...................... 3.1-26
MC74HC4060A .............. 3.1-19 MC78L24AC . . . . . . . . . . . . . . . . .. 4.2-2 MC951 ...................... 3.1-33
MC74HC4066 ............... 3.1-33 MC78L24C ... . . . . . . . . . . . . . . .. 4.2-2 MC952 ...................... 3.1-23
MC74HC4075 ............... 3.1-29 MC78MXXB .................. 4.2-2 MC953 ...................... 3.1-23
MC74HC4078 ............... 3.1-27 MC78MXXC . . . . . . . . . . . . . . . . .. 4.2-2 MFF124B .................. 5.10-31
MC74HC42 .................. 3.1-21 MC78TXXAC ................. 4.2-3 MFF224B .................. 5.10-31
MC74HC4316 ............... 3.1-33 MC78TXXC .................. 4.2-3 MFF324B .................. 5.10-32
MC74HC4351 ............... 3.1-31 MC78T15AC . . . . . . . . . . . . . . . . .. 4.2-3 MFF424B .................. 5.10-32
MC74HC4353 ............... 3.1-33 MC78T15C ...... . . . . . . . . . . . .. 4.2-3 MFF524B .................. 5.10-33
MC74HC4511 ................ 3.1-22 MC78XXAC .................. 4.2-3 MGB20N35CL ......... 5.4-7,5.4--22
MC74HC4514 ............... 3.1-21 MC78XXB . . . . . . . . . . . . . . . . . . .. 4.2-3 MGB20N40CL ......... 5.4--7,5.4-22
MC74HC4538A .............. 3.1-33 MC78XXC . . . . . . . . . . . . . . . . . . .. 4.2-3 MGP20N14CL ......... 5.4-7,5.4--22
MC74HC51 .................. 3.1-27 MC7824AC . . . . . . . . . . . . . . . . . .. 4.2-3 MGP20N35CL ......... 5.4--7,5.4--22
MC74HC533A ............... 3.1-31 MC7824B .................... 4.2-3 MGP20N40CL ......... 5.4--7,5.4--22
MC74HC534A ............... 3.1-24 MC7824C .................... 4.2-3 MGP20N60 .................. 5.4--22
MC74HC540A ............... 3.1-16 MC79LXXAB ................. 4.2-2 MGP5N60E ................. 5.4--22
MC74HC541A ............... 3.1-16 MC79LXXAC ................. 4.2-2 MGRB1018K ................ 5.6-14
MC74HC563 ................. 3.1-31 MC79LXXC . . . . . . . . . . . . . . . . . .. 4.2-2 MGRB2018CTK .............. 5.6-14
MC74HC564 ................. 3.1-25 MC79L05AB . . . . . . . . . . . . . . . . .. 4.2-2 MGRB2025CTK .............. 5.6-14
MC74HC573A ............... 3.1-31 MC79L05AC . . . . . . . . . . . . . . . . .. 4.2-2 MGR1018K .................. 5.6-14
MC74HC574A ............... 3.1-25 MC79L05C ... . . . . . . . . . . . . . . .. 4.2-2 MGR2018CTK ............... 5.6-14
MC74HC58 .................. 3.1-27 MC79L24AB . . . . . . . . . . . . . . . . .. 4.2-2 MGR2025CTK ............... 5.6-14
MC74HC589 ................. 3.1-37 MC79L24AC . . . . . . . . . . . . . . . . .. 4.2-2 MGSS150-1 ................. 5.7-14
MC74HC595A ............... 3.1-37 MC79L24C ... . . . . . . . . . . . . . . .. 4.2-2 MGSS150-2 ................. 5.7-14
MC74HC597 ................. 3.1-37 MC79MXXB .................. 4.2-2 MGW12N120 ................ 5.4--22
MC74HC640A ............... 3.1-16 MC79MXXC .. . . . . . . . . . . . . . . .. 4.2-2 MGW12N120D ............... 5.4--22
MC74HC646 ................. 3.1-16 MC79XXAC .................. 4.2-3 MGW20N60D . . . . . . . . . . . . . . .. 5.4--22
MC74HC688 ................. 3.1-18 MC79XXC . . . . . . . . . . . . . . . . . . .. 4.2-3 MGW30N60 ................. 5.4--22
MC74HC7266 ............... 3.1-27 MC79076 .................... 4.9-2 MGY25N120 ................. 5.4--22
MC74HC73 .................. 3.1-23 MC7924C .................... 4.2-3 MGY30N60D ................ 5.4--22
MC74HC74A ................ 3.1-23 MC8HC705G1 ............... 2.6-23 MGY40N60 .................. 5.4--22
MC74HC75 .................. 3.1-30 MC830 . . . . . . . . . . . . . . . . . . . . .. 3.1-25 MGY40N60D ................ 5.4--22
MC74HC76 .................. 3.1-23 MC832 ...................... 3.1-14 MG8MEVB16Y1 ............. 2.6-25
MC74HC85 .................. 3.1-18 MC836 ...................... 3.1-29 MHLW8000 ................. 5.10-35
MC74HC86 .................. 3.1-28 MC837 ...................... 3.1-29 MHL8015 .................. 5.10-34
MC74LCXOO ................. 3.1-26 MC840 ...................... 3.1-29 MHL8018 .................. 5.10-34
MC74LCX02 ................. 3.1-28 MC844 ...................... 3.1-22 MHL8115 ................... 5.10-34
MC74LCX04 ................. 3.1-30 MC845 ...................... 3.1-23 MHL8118 ................... 5.10-34
MC74LCX08 ................. 3.1-26 MC846 . . . . . . . . . . . . . . . . . . . . .. 3.1-26 MHL9125 .................. 5.10-34
MC74LCX240 ................ 3.1-14 MC849 ...................... 3.1-26 MHL9128 .................. 5.10-34
MC74LCX244 ................ 3.1-14 MC88LV926 ................. 3.1-18 MHPM7A12A120A ............ 5.3-2
MC74LCX245 ................ 3.1-38 MC88PL117 ................. 3.1-17 MHPM7A15A60A ............. 5.3-2
MC74LCX32 ................. 3.1-28 MC88100 .................... 2.3-3 MHPM7A16A120B ............ 5.3-2
MC74LCX373 ................ 3.1-30 MC88100RC .................. 2.3-2 MHPM7A20A60A ............. 5.3-2
MC74LCX374 ................ 3.1-24 MC88110 ..................... 2.3-3 MHPM7A25A120B ............ 5.3-2
MC74LCX540 ................ 3.1-14 MC88110RC .................. 2.3-2 MHPM7A30A60B ............. 5.3-2
MC74LCX541 ................ 3.1-14 MC88200 .............. 2.3-2, 2.3-3 MHPM7A8A120A ............. 5.3-2
MC74LCX573 ................ 3.1-30 MC88200RC . . . . . . . . . . . . . . . . .. 2.3-3 MHW105 ................... 5.10-28
MC74LCX574 ................ 3.1-24 MC88204RC . . . . . . . . . . . . . . . . .. 2.3-3 MHW1134 .................. 5.10-30
MC74LVQOO ................. 3.1-26 MC88410 .................... 2.3-3 MHW1184 .................. 5.10-30
MC74LVQ04 ................. 3.1-30 MC88913 ................... 3.1-18 MHW1184L ................. 5.10-30
MC74LVQ125 ................ 3.1-14 MC88914 ................... 3.1-18 MHW1224 .................. 5.10-30
MC74LVQ138 ................ 3.1-21 MC88915T*100 .............. 3.1-18 MHW1224L ................. 5.10-30
MC74LVQ240 ................ 3.1-14 MC88915T*133 .............. 3.1-18 MHW1244 .................. 5.10-30
MC74LVQ244 ................ 3.1-14 MC88915T*160 .............. 3.1-18 MHW1254L ................. 5.10-30

Motorola Master Selection Guide 7.1-15 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MHW1254LC ............... 5.10-30 MHW8205 .................. 5.10-33 MJE18002 ............. 5.5-3,5.5-18
MHW1304L. ................ 5.10-30 MHW8222 .................. 5.10-33 MJE18004 ............. 5.5-4,5.5-18
MHW1304LC ............... 5.10-30 MHW8242 .................. 5.10-33 MJE18004D2 ................ 5.5-18
MHW2528 .................. 5.10-35 MHW8272 .................. 5.10-33 MJE18006 ............. 5.5-4,5.5-18
MHW2728 .................. 5.10-35 MHW8292 ................. , 5.10-33 MJE18008 ............. 5.5-5,5.5-18
MHW2821-1 ............... 5.10-28 MHW851-1 ................. 5.10-28 MJE18009 ............. 5.5-6,5.5-18
MHW2821-2 ............... 5.10-28 MHW851-2 ................. 5.10-28 MJE181 ...................... 5.5-9
MHW3528 .................. 5.10-35 MHW851-3 ................. 5.10-28 MJE182 ...................... 5.5-9
MHW3628 .................. 5.10-35 MHW851-4 ................. 5.10-28 MJE18204 ............. 5.5-4,5.5-18
MHW3728 .................. 5.10-35 MHW9002-1 ............... 5.10-28 MJE18206 ................... 5.5-18
MHW5142A ................ 5.10-31 MHW9002-2 ............... 5.10-28 MJE18604D2 ....... : ........ 5.5-18
MHW5172A ................ 5.10-31 MHW9014 .................. 5.10-28 MJE200 ..................... 5.5-10
MHW5182A ................ 5.10-31 MHW913 ................... 5.10-29 MJE210 ..................... 5.5-10
MHW5185B ................ 5.10-31 MHW914 ................... 5.10-29 MJE2360T ................... 5.5-3
MHW5222A ................ 5.10-31 MHW9142 .................. 5.10-33 MJE2361T ................... 5.5-3
MHW5225 .................. 5.10-31 MHW916 ................... 5.10-29 MJE243 ..................... 5.5-10
MHW5272A ................ 5.10-31 MHW9182 .................. 5.10-33 MJE253 ........... , ..... , ... 5.5-10
MHW5342A ................ 5.10-31 MHW920 ................... 5.10-29 MJE270 . . . . . . . . . . . . . . . . . . . . .. 5.5-9
MHW5382A ................ 5.10-31 MHW9242 .................. 5.10-33 MJE271 ...................... 5.5-9
MHW590 ................... 5.10-34 MHW927B ................. 5.10-29 MJE2955T ................... 5.5-5
MHW591 ................... 5.10-34 MHW953 ................... 5.10-29 MJE3055T ................... 5.5-5
MHW592 ................... 5.10-34 MHW954 ................... 5.10-29 MJE340 .................... " 5.5-6
MHW593 ...... ; ............ 5.10-34 MJD112 ..................... 5.5-11 MJE341 ...................... 5.5-8
MHW607-1 ................. 5.10-28 MJD117 ....... , . '" ......... 5.5-11 MJE3439 ..................... 5.5-8
MHW607-2 ................. 5.10-28 MJD122 ..................... 5.5-11 MJE344 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
MHW607-3 ................. 5.10-28 MJD127 ..................... 5.5-11 MJE350 . . . . . . . . . . . . . . . . . . . . .. 5.5-8
MHW607-4 ................. 5.10-28 MJD13003 .................. 5.5-10 MJE371 ...................... 5.5-9
MHW6142 .................. 5.10-31 MJD200 ..................... 5.5-11 MJE4342 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MHW6172 .................. 5.10-31 MJD210 ....... , . '" .... " ... 5.5-11 MJE4343 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MHW6182 .................. 5.10-31 MJD243 ............. , ....... 5.5-11 MJE4352 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MHW6182-6 ............... 5.10-32 MJD253 ..................... 5.5-11 MJE4353 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MHW6185-6A .............. 5.10-32 MJD2955 .................... 5.5-11 MJE521 ...................... 5.5-9
MHW6185B ................ 5.10-31 MJD3055 .................... 5.5-11 MJE5730 . . . . . . . . . . . . . . . . . . . .. 5.5-3
MHW6205 .................. 5.10-31 MJD31 ...................... 5.5-11 MJE5731 ........ . . . . . . . . . . . .. 5.5-3
MHW6205-6A .............. 5.10-32 MJD31C .................... 5.5-11 MJE5731A ................... 5.5-3
MHW6222 .................. 5.10-31 MJD32 ........ " .... , ....... 5.5-11 MJE5740 ...•................. 5.5-5
MHW6222-6 ............... 5.10-32 MJD32C .................... 5.5-11 MJE5741 ..................... 5.5-5
MHW6225 .................. 5.10-31 MJD340 ..................... 5.5-10 MJE5742 ..................... 5.5-5
MHW6272 .................. 5.10-31 MJD350 ....... " ......... '" 5.5-10 MJE5850 . . . . . . . . . . . . . . . . . . . .. 5.5-5
MHW6272-6 ............... 5.10-32 MJD41C .................... 5.5-11 MJE5851 ..................... 5.5-5
MHW6292-6 ............... 5.10-32 MJD42C .................... 5.5-11 MJE5852 ..................... 5.5-5
MHW6342 .................. 5.10-31 MJD44E3 ................... 5.5-11 MJE700 . . . . . . . . . . . . . . .. 5.5-4, 5.5-9
MHW704-1 ................. 5.10-28 MJD44H11 .................. 5.5-11 MJE702 ..................... 5.5-10
MHW704-2 ................. 5.10-28 MJD47 ...................... 5.5-10 MJE703 ..................... 5.5-10
MHW707-1 ................. 5.10-28 MJD50 ...................... 5.5-10 MJE800 . . . . . . . . . . . . . . .. 5.5-4, 5.5-9
MHW707-2 ................. 5.10-28 MJD5731 .................... 5.5-10 MJE802 ..................... 5.5-10
MHW707-3 ................. 5.10-28 MJD6036 .................... 5.5-11 MJE803 ..................... 5.5-10
MHW707-4 ................. 5.10-28 MJD6039 . . . . . . . . . . . . . . . . . . .. 5.5-11 MJE9780 . . . . . . . . . . . . . . . . . . . .. 5.5-3
MHW7142 .................. 5.10-32 MJE1123 ...... " ............. 5.5-4 MJF18002 ................... 5.5-19
MHW7182 .................. 5.10-32 MJE13002 .................... 5.5-9 MJF18004 ............. 4.8-9,5.5-19
MHW7185A ................ 5.10-32 MJE13003 ............. 5.5-9,5.5-19 MJF18006 ................. " 5.5-19
MHW720A1 ................ 5.10-28 MJE13005 .................... 5.5-4 MJF18008 ... , ..... , ......... 5.5-19
MHW720A2 ................ 5.10-28 MJE13007 .................... 5.5-5 MJF18009 ................... 5.5-19
MHW7205A ................ 5.10-32 MJE13009 .................... 5.5-6 MJF18204 ................... 5.5-19
MHW7222 .................. 5.10-32 MJE1320 ..................... 5.5-3 MJF18206 ................... 5.5-19
MHW7242 .................. 5.10-32 MJE15028 .................... 5.5-5 MJH10012 ................... 5.5-6
MHW7272 .................. 5.10-32 MJE15029 . . . . . . . . . . . . . . . . . . .. 5.5-5 MJH11017 .................... 5.5-7
MHW7292 .................. 5.10-32 MJE15030 ............. 5.5-5,5.5-15 MJH11018 .................... 5.5-7
MHW803-1 ................. 5.10-28 MJE15031 ............. 5.5-5,5.5-15 MJH11019 .................... 5.5-7
MHW803-2 ................. 5.10-28 MJE15032 ................... 5.5-15 MJH11020 .................... 5.5-7
MHW803-3 ................. 5.10-28 MJE15033 ................... 5.5-15 MJH11021 .................... 5.5-7
MHW804-1 ................. 5.10-28 MJE16002 ...... '" ......... " 5.5-4 MJH11022 .................... 5.5-7
MHW806A2 ................ 5.10-28 MJE16004 .................... 5.5-4 MJH16006A .................. 5.5-6
MHW806A4 ................ 5.10-28 MJE16106 .................... 5.5-5 MJH6282 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MHW8142 .................. 5.10-33 MJE16204 .................... 5.5-4 MJH6284 ..................... 5.5-7
MHW8182 .................. 5.10-33 MJE171 ...................... 5.5-9 MJH6285 . . . . . . . . . . . . . . . . . . . .. 5.5-7
MHW8185 .................. 5.10-33 MJE172 ...................... 5.5-9 MJH6287 . . . . . . . . . . . . . . . . . . . .. 5.5-7

Device Index 7.1-16 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MJL1302A ............. 5.5--8, 5.5-15 MJ2500 ... . . . . . . . . . . . . . . . . .. 5.5-12 MMBF5460LTl ............... 5.1-22
MJL16218 .................... 5.5--8 MJ2501 ..................... 5.5-12 MMBF5484LTl ............... 5.1-22
MJL21193 ............. 5.5--8,5.5-15 MJ2955 ..................... 5.5-12 MMBF5486LTl ............... 5.1-22
MJL21194 ....... . . . . .. 5.5--8, 5.5-15 MJ2955A ............. 5.5-12,5.5-15 MMBR5031LTl ..... 5.10-16,5.10-19
MJL3281 A ............. 5.5--8, 5.5-15 MJ3000 ..................... 5.5-12 MMBR5179LT1 ..... 5.10-16,5.10-19
MJW16010 ................... 5.5-7 MJ3001 ..................... 5.5-12 MMBR521LTl ...... 5.10-16,5.10-18
MJW16010A .................. 5.5-7 MJ3281A ............. 5.5-12,5.5-15 MMBR571LT1 ...... 5.10-16,5.10-18
MJW16012 ................... 5.5-7 MJ413 ...................... 5.5-12 MMBR901LTl ...... 5.10-16,5.10-18
MJW16018 ................... 5.5-7 MJ423 ...................... 5.5-12 MMBR911LT1 ....... 5.10-16,5.10-18
MJW16206 ................... 5.5-7 MJ4502 ..................... 5.5-13 MMBR920LTl ...... 5.10-16, 5.10-19
MJW16212 ................... 5.5-7 MJ802 ...................... 5.5-13 MMBR931LTl .............. 5.10-16
MJ1000 ..................... 5.5-11 MKP1V120 .................. 5.7-14 MMBR941BLT1 ..... 5.10-16,5.10-18
MJ10000 .................... 5.5-13 MKP1V130 .................. 5.7-14 MMBR941LTl ...... 5.10-16,5.10-18
MJ10005 .................... 5.5-13 MKP3Vll0 .................. 5.7-14 MMBR951ALT1 ............. 5.10-16
MJ10007 .................... 5.5-12 MKP3V120 .................. 5.7-14 MMBR951LT1 ...... 5.10-16,5.10-18
MJ10009 .................... 5.5-13 MKP3V130 .................. 5.7-14 MMBTA06LT1 ................ 5.1-15
MJ100l ..................... 5.5-11 MLPl N06CL .................. 5.4-7 MMBTA13LT1 ................ 5.1-14
MJ10012 .................... 5.5-12 MLP2N06CL .................. 5.4-7 MMBTA14LT1 ................ 5.1-14
MJ10015 .................... 5.5-14 MMADll03 .................. 5.1-39 MMBTA42LT1 ................ 5.1-15
MJ10016 .................... 5.5-14 MMADll05 .................. 5.1-39 MMBTA56LT1 ................ 5.1-15
MJ10020 .................... 5.5-14 MMADll06 .................. 5.1-39 MMBTA64LT1 ................ 5.1-14
MJ10021 .................... 5.5-14 MMADll07 .................. 5.1-39 MMBTA92LT1 ................ 5.1-15
MJ10022 .................... 5.5-14 MMADll08 .................. 5.1-39 MMBTH10LT1 ............... 5.1-13
MJ10023 .................... 5.5-14 MMADll09 .................. 5.1-39 MMBTH24LT1 ............... 5.1-13
MJll0ll .................... 5.5-13 MMAD130 ................... 5.1-39 MMBTH69LTl ............... 5.1-13
MJll012 .................... 5.5-13 MMAS250G ................. 5.9-10 MMBTH81LTl ............... 5.1-13
MJll013 .................... 5.5-13 MMBD1000LTl ....... 5.1-36,5.1-41 MMBT1010LT1 ........ 5.1-15,5.1-40
MJll014 .................... 5.5-13 MMBD1005LTl ....... 5.1-37,5.1-41 MMBT2222ALTl ............. 5.1-10
MJll015 .................... 5.5-13 MMBD101LTl ............... 5.1-32 MMBT2369ALTl ............. 5.1-13
MJll016 .................... 5.5-13 MMBD10l0LTl ....... 5.1-37,5.1-41 MMBT2369LT1 ............... 5.1-13
MJll017 .................... 5.5-12 MMBD2000T1 ........ 5.1-36,5.1-41 MMBT2484LT1 ............... 5.1-14
MJll018 .................... 5.5-12 MMBD2005Tl ........ 5.1-37,5.1-41 MMBT2907ALT1 ............. 5.1-10
MJll019 .................... 5.5-12 MMBD2010Tl ........ 5.1-37,5.1-41 MMBT3640LTl ............... 5.1-13
MJll020 .................... 5.5-12 MMBD2835LT1 .............. 5.1-36 MMBT3904LTl ............... 5.1-10
MJll021 .................... 5.5-12 MMBD2836LTl .............. 5.1-36 MMBT3904WTl .............. 5.1-11
MJll022 .................... 5.5-12 MMBD2837LTl .............. 5.1-36 MMBT3906LTl ............... 5.1-11
MJll030 .................... 5.5-14 MMBD2838LTl .............. 5.1-36 MMBT3906WTl .............. 5.1-11
MJll031 .................... 5.5-14 MMBD3000Tl ........ 5.1-36,5.1-41 MMBT404ALTl .............. 5.1-14
MJll032 .................... 5.5-14 MMBD3005Tl ........ 5.1-37,5.1-41 MMBT4401LT1 ............... 5.1-10
MJll033 .................... 5.5-14 MMBD301LTl ............... 5.1-32 MMBT4403LT1 ............... 5.1-11
MJ1302A ............. 5.5-12,5.5-15 MMBD3010Tl ........ 5.1-37,5.1-41 MMBT5087LTl ............... 5.1-14
MJ13333 .................... 5.5-13 MMBD330Tl ................ 5.1-33 MMBT5089LTl ............... 5.1-14
MJ14001 .................... 5.5-14 MMBD352LTl ............... 5.1-32 MMBT5401LT1 ............... 5.1-15
MJ14002 .................... 5.5-14 MMBD353LT1 ............... 5.1-32 MMBT5551LT1 ............... 5.1-15
MJ14003 .................... 5.5-14 MMBD354LTl ............... 5.1-32 MMBT6428LTl ............... 5.1-14
MJ15001 ............. 5.5-12,5.5-15 MMBD6050LTl .............. 5.1-35 MMBT6429LTl ............... 5.1-14
MJ15002 ............. 5.5-12,5.5-15 MMBD6100LTl .............. 5.1-36 MMBT6517LT1 ............... 5.1-15
MJ15003 ............. 5.5-13,5.5-15 MMBD7000LT1 .............. 5.1-36 MMBT6520LT1 ............... 5.1-15
MJ15004 ............. 5.5-13,5.5-15 MMBD701LTl ............... 5.1-32 MMBT8599LT1 ............... 5.1-10
MJ15011 .................... 5.5-12 MMBD770Tl ................ 5.1-33 MMBT918LT1 ................ 5.1-13
MJ15012 .................... 5.5-12 MMBD914LTl ............... 5.1-35 MMBV105GLTl .............. 5.1-30
MJ15015 ............. 5.5-12,5.5-15 MMBFJ175LTl ............... 5.1-23 MMBV109LTl ............... 5.1-30
MJ15016 ............. 5.5-12,5.5-15 MMBFJ177LTl ............... 5.1-23 MMBV2101 LTl .............. 5.1-27
MJ15018 .................... 5.5-11 MMBFJ309LTl ............... 5.1-22 MMBV2103LTl .............. 5.1-27
MJ15020 ............. 5.5-11,5.5-15 MMBFJ310LTl ............... 5.1-22 MMBV2104LTl .............. 5.1-27
MJ15021 ............. 5.5-11, 5.5-15 MMBFU310LTl .............. 5.1-22 MMBV2105LTl .............. 5.1-27
MJ15022 .................... 5.5-13 MMBF0201 NLTl ...... 5.1-23,5.1-41 MMBV2107LTl .............. 5.1-27
MJ15023 .................... 5.5-13 MMBF0202PLTl ...... 5.1-23,5.1-41 MMBV2108LTl .............. 5.1-27
MJ15024 ............. 5.5-13,5.5-15 MMBF170LTl ................ 5.1-23 MMBV2109LT1 .............. 5.1-27
MJ15025 ............. 5.5-13,5.5-15 MMBF4391LTl ............... 5.1-23 MMBV3102LT1 .............. 5.1-30
MJ16010 .................... 5.5-13 MMBF4392LT1 ............... 5.1-23 MMBV3401LT1 .............. 5.1-35
MJ16012 .................... 5.5-13 MMBF4393LT1 ............... 5.1-23 MMBV3700LTl .............. 5.1-35
MJ16020 .................... 5.5-14 MMBF4416LTl ............... 5.1-22 MMBV409LTl ............... 5.1-30
MJ16022 .................... 5.5-14 MMBF4856LTl ............... 5.1-23 MMBV432LTl ............... 5.1-27
MJ16110 .................... 5.5-12 MMBF4860LTl ............... 5.1-23 MMBV609LTl ............... 5.1-31
MJ21193 ............. 5.5-13,5.5-15 MMBF5457LTl ............... 5.1-22 MMBV809LTl ............... 5.1-30
MJ21194 ............. 5.5-13,5.5-15 MMBF5459LT1 ............... 5.1-22 MMBZ15ALTl ................ 5.2-9

Motorola Master Selection Guide 7.1-17 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MMBZ15VDLTl ............... 5.2-9 MMFT2955E ................. 5.4-18 MMSZ4687Tl ........ 5.2-21, 5.2-28
MMBZ20ALTl ................ 5.2-9 MMFT3055E .. .. . .. .. .. . . .. . .. 5.4-9 MMSZ4688Tl ........ 5.2-21, 5.2-28
MMBZ27VCLT1 ............... 5.2-9 MMFT3055EL ......... 5.4-9, 5.4-20 MMSZ4689Tl ........ 5.2-21, 5.2-28
MMBZ5V6ALTl ............... 5.2-9 MMFT3055V . .. . . .. . .. . .. . . ... 5.4-6 MMSZ4690Tl ........ 5.2-21, 5.2-28
MMBZ5221 BLT1 ...... 5.2-21, 5.2-25 MMFT3055VL ................ 5.4-6 MMSZ4691Tl ........ 5.2-21,5.2-28
MMBZ5222BLTl ...... 5.2-21,5.2-25 MMFT6661T1 ................ 5.1-23 MMSZ4692Tl ........ 5.2-21, 5.2-28
MMBZ5225BLTl ...... 5.2-21,5.2-25 MMFT960Tl ................. 5.1-23 MMSZ4693Tl ........ 5.2-21, 5.2-28
MMBZ5226BLTl ...... 5.2-21,5.2-25 MMH0026 .. .. .. .. .. .. .. .. ... 4.2-25 MMSZ4694Tl ........ 5.2-21, 5.2-28
MMBZ5228BLTl ...... 5.2-21,5.2-25 MMPQ2222A ................. 5.1-9 MMSZ4695Tl ........ 5.2-21, 5.2-28
MMBZ5229BLTl ...... 5.2-21,5.2-25 MMPQ2369 .................. 5.1-9 MMSZ4696Tl ........ 5.2-21, 5.2-28
MMBZ5230BLTl ...... 5.2-21,5.2-25 MMPQ2907A ................. 5.1-9 MMSZ4697Tl ........ 5.2-21, 5.2-28
MMBZ5231 BLTl ...... 5.2-21, 5.2-25 MMPQ3467 .................. 5.1-9 MMSZ4698Tl ........ 5.2-21, 5.2-28
MMBZ5232BLTl ...... 5.2-21,5.2-25 MMPQ3725 .................. 5.1-9 MMSZ4699Tl ........ 5.2-21, 5.2-28
MMBZ5233BLTl ...... 5.2-21,5.2-25 MMPQ3799 .................. 5.1-9 MMSZ47Tl ........... 5.2-22, 5.2-30
MMBZ5234BLTl ...... 5.2-21,5.2-25 MMPQ3904 .................. 5.1-9 MMSZ4700Tl ........ 5.2-21,5.2-28
MMBZ5235BLT1 ...... 5.2-21, 5.2-25 MMPQ3906 .................. 5.1-9 MMSZ4701Tl ........ 5.2-21,5.2-28
MMBZ5236BLT1 ...... 5.2-21, 5.2-25 MMPQ6700 .................. 5.1-9 MMSZ4702Tl ........ 5.2-21,5.2-28
MMBZ5237BLT1 ...... 5.2-21,5.2-25 MMQA20VT1 ................ 5.2-10 MMSZ4703Tl ........ 5.2-21,5.2-28
MMBZ5239BLTl ...... 5.2-21,5.2-25 MMQA5V6Tl ................ 5.2-10 MMSZ4704Tl ........ 5.2-21,5.2-28
MMBZ5240BLTl ...... 5.2-21,5.2-25 MMSD1000T1 ........ 5.1-36,5.1--41 MMSZ4705Tl ........ 5.2-21,5.2-28
MMBZ5241 BLT1 ...... 5.2-21, 5.2-25 MMSD101T1 ................ 5.1-33 MMSZ4706T1 ........ 5.2-21,5.2-28
MMBZ5242BLT1 ...... 5.2-21,5.2-25 MMSD301T1 ................ 5.1-33 MMSZ4707Tl ........ 5.2-21, 5.2-28
MMBZ5243BLT1 ...... 5.2-21, 5.2-25 MMSD701T1 ................ 5.1-33 MMSZ4708Tl ........ 5.2-21,5.2-28
MMBZ5244BLT1 ...... 5.2-21,5.2-25 MMSD914T1 ................ 5.1-35 MMSZ4709Tl ........ 5.2-21, 5.2-28
MMBZ5245BLTl ...... 5.2-21, 5.2-25 MMSF2P02E .......... 5.4-4,5.4-17 MMSZ4710Tl ........ 5.2-21, 5.2-28
MMBZ5246BLTl ...... 5.2-21, 5.2-25 MMSF3P02HD ......... 5.4-4,5.4-17 MMSZ4711Tl ......... 5.2-21,5.2-28
MMBZ5247BLT1 ...... 5.2-21,5.2-25 MMSF3P02Z .......... 5.4-4,5.4-17 MMSZ4712T1 ........ 5.2-22,5.2-28
MMBZ5248BLT1 ...... 5.2-21, 5.2-25 MMSF3P03HD ......... 5.4-4,5.4-17 MMSZ4713T1 ........ 5.2-22,5.2-28
MMBZ5249BLT1 ...... 5.2-21, 5.2-25 MMSF4P01HD ......... 5.4-4,5.4-17 MMSZ4714Tl ........ 5.2-22,5.2-28
MMBZ5250BLTl ...... 5.2-21,5.2-25 MMSF4P01Z .......... 5.4-4,5.4-17 MMSZ4715T1 ........ 5.2-22,5.2-28
MMBZ5251 BLTl ...... 5.2-21,5.2-25 MMSF5N02HD .......... 5.4-4, 5.4-8 MMSZ4716T1 ........ 5.2-22,5.2-28
MMBZ5252BLT1 ...... 5.2-21, 5.2-25 MMSF5N03HD .......... 5.4-4, 5.4-8 MMSZ4717T1 ........ 5.2-22,5.2-28
MMBZ5254BLT1 ...... 5.2-21, 5.2-25 MMSF5N03Z ................. 5.4-4 MMSZ5V1Tl ......... 5.2-21, 5.2-29
MMBZ5255BLT1 ...... 5.2-22, 5.2-25 MMSF6N01Z ........... 5.4-4,5.4-9 MMSZ5V6Tl ......... 5.2-21, 5.2-29
MMBZ5256BLT1 ...... 5.2-22, 5.2-25 MMSZ10Tl ........... 5.2-21, 5.2-29 MMSZ51Tl ........... 5.2-22,5.2-30
MMBZ5257BLTl ...... 5.2-22, 5.2-25 MMSZ11T1 ........... 5.2-21,5.2-29 MMSZ5221 BTl ....... 5.2-21, 5.2-26
MMBZ5258BLT1 ...... 5.2-22, 5.2-25 MMSZ12T1 ........... 5.2-21,5.2-29 MMSZ5222BTl ....... 5.2-21, 5.2-26
MMBZ5259BLT1 ...... 5.2-22, 5.2-25 MMSZ13Tl ........... 5.2-21,5.2-29 MMSZ5223BTl ....... 5.2-21, 5.2-26
MMBZ5261BLT1 ...... 5.2-22,5.2-26 MMSZ15T1 ........... 5.2-21,5.2-29 MMSZ5224BTl ....... 5.2-21, 5.2-26
MMBZ5262BLTl ...... 5.2-22, 5.2-26 MMSZ16Tl ........... 5.2-21,5.2-29 MMSZ5225BTl ....... 5.2-21,5.2-26
MMBZ5263BLTl ...... 5.2-22,5.2-26 MMSZ18Tl ........... 5.2-21, 5.2-29 MMSZ5226BTl ....... 5.2-21, 5.2-26
MMBZ5265BLTl ...... 5.2-22, 5.2-26 MMSZ2V4T1 ......... 5.2-21,5.2-29 MMSZ5227BTl ....... 5.2-21, 5.2-26
MMBZ5266BLTl ...... 5.2-22,5.2-26 MMSZ2V7Tl ......... 5.2-21, 5.2-29 MMSZ5228BT1 ....... 5.2-21, 5.2-26
MMBZ5268BLT1 ...... 5.2-22, 5.2-26 MMSZ20Tl ........... 5.2-21, 5.2-29 MMSZ5229BTl ....... 5.2-21, 5.2-26
MMBZ5269BLTl ...... 5.2-22, 5.2-26 MMSZ22Tl ........... 5.2-21, 5.2-29 MMSZ5230BT1 ....... 5.2-21, 5.2-26
MMBZ5270BLTl ...... 5.2-22, 5.2-26 MMSZ24T1 ........... 5.2-21, 5.2-29 MMSZ5231 BTl ....... 5.2-21,5.2-27
MMBZ6V2ALT1 ............... 5.2-9 MMSZ27T1 ........... 5.2-21, 5.2-30 MMSZ5232BTl ....... 5.2-21, 5.2-27
MMDFl N05E ........... 5.4-4, 5.4-8 MMSZ3VOT1 ......... 5.2-21, 5.2-29 MMSZ5233BT1 ....... 5.2-21, 5.2-27
MMDF2COl HD ......... 5.4-4, 5.4-8 MMSZ3V3Tl ......... 5.2-21, 5.2-29 MMSZ5234BTl ....... 5.2-21, 5.2-27
MMDF2C02E ........... 5.4-4, 5.4-8 MMSZ3V6Tl ......... 5.2-21, 5.2-29 MMSZ5235BTl ....... 5.2-21, 5.2-27
MMDF2C02HD ......... 5.4-4,5.4-8 MMSZ3V9T1 ......... 5.2-21, 5.2-29 MMSZ5236BTl ....... 5.2-21, 5.2-27
MMDF2C03HD ......... 5.4-4,5.4-8 MMSZ30T1 ........... 5.2-22, 5.2-30 MMSZ5237BTl ....... 5.2-21, 5.2-27
MMDF2N02E ........... 5.4-4, 5.4-8 MMSZ33T1 ........... 5.2-22, 5.2-30 MMSZ5238BTl ....... 5.2-21, 5.2-27
MMDF2P01HD ......... 5.4-4,5.4-17 MMSZ36T1 ........... 5.2-22, 5.2-30 MMSZ5239BTl ....... 5.2-21, 5.2-27
MMDF2P02E .......... 5.4-4,5.4-17 MMSZ39Tl ........... 5.2-22, 5.2-30 MMSZ5240BTl ....... 5.2-21, 5.2-27
MMDF2P02HD ......... 5.4-4,5.4-17 MMSZ4V3Tl ......... 5.2-21, 5.2-29 MMSZ5241BTl ....... 5.2-21,5.2-27
MMDF2P03HD ......... 5.4-4,5.4-17 MMSZ4V7Tl ......... 5.2-21, 5.2-29 MMSZ5242BTl ....... 5.2-21, 5.2-27
MMDF3N02HD ......... 5.4-4, 5.4-8 MMSZ43Tl ........... 5.2-22, 5.2-30 MMSZ5243BTl ....... 5.2-21, 5.2-27
MMDF3N03HD ......... 5.4-4, 5.4-8 MMSZ4678Tl ........ 5.2-21, 5.2-28 MMSZ5244BTl ....... 5.2-21, 5.2-27
MMDF3055V ................. 5.4-6 MMSZ4679T1 ........ 5.2-21,5.2-28 MMSZ5245BT1 ....... 5.2-21, 5.2-27
MMDF3055VL ................ 5.4-6 MMSZ4680T1 ........ 5.2-21,5.2-28 MMSZ5246BTl ....... 5.2-21, 5.2-27
MMDF4NOl HD ......... 5.4-4, 5.4-8 MMSZ4681Tl ........ 5.2-21,5.2-28 MMSZ5247BTl ....... 5.2-21,5.2-27
MMDF4N01Z ........... 5.4-4,5.4-9 MMSZ4682Tl ........ 5.2-21, 5.2-28 MMSZ5248BTl ....... 5.2-21, 5.2-27
MMFT1Nl0E ................. 5.4-9 MMSZ4683Tl ........ 5.2-21, 5.2-28 MMSZ5249BTl ....... 5.2-21, 5.2-27
MMFT107Tl ................. 5.1-23 MMSZ4684Tl ........ 5.2-21, 5.2-28 MMSZ5250BTl ....... 5.2-21, 5.2-27
MMFT2N02EL ......... 5.4-9, 5.4-20 MMSZ4685Tl ........ 5.2-21, 5.2-28 MMSZ5251 BTl ....... 5.2-21,5.2-27
MMFT2406T1 ................ 5.1-23 MMSZ4686Tl ........ 5.2-21, 5.2-28 MMSZ5252BTl ....... 5.2-21, 5.2-27

Device Index 7.1-18 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MMSZ5253BT1 ....... 5.2-21, 5.2-27 MOC2A60-10 ............... 5.8-10 MOC8111 .................... 5.8-6
MMSZ5254BT1 ....... 5.2-21, 5.2-27 MOC2A60-5 ................. 5.8-10 MOC8112 .................... 5.8-6
MMSZ5255BT1 ....... 5.2-22, 5.2-27 MOC205 ..................... 5.8-9 MOC8113 .................... 5.8-6
MMSZ5256BT1 ....... 5.2-22, 5.2-27 MOC205R2 .. .. .. .. .. .. .. .. ... 5.8-9 MOC8204 .. .. .. .. .. .. .. .. .... 5.8-7
MMSZ5257BT1 ....... 5.2-22, 5.2-27 MOC206 ..................... 5.8-9 MPC2101 .................... 2.8-4
MMSZ5258BT1 ....... 5.2-22, 5.2-27 MOC206R2 . . . . . . . . . . . . .. . . . .. 5.8~ MPC2103 .................... 2.8-4
MMSZ5259BT1 ....... 5.2-22, 5.2-27 MOC207 ...... . . . . . . . . . . . . . .. 5.8-9 MPC2104 .................... 2.8-4
MMSZ5260BT1 ....... 5.2-22, 5.2-27 MOC207R2 . . . . . . . . . . . . . . . . . .. 5.8-9 MPC2105 .................... 2.8-4
MMSZ5261BT1 ....... 5.2-22,5.2-27 MOC211 ..................... 5.8-9 MPC2106 .................... 2.8-4
MMSZ5262BT1 ....... 5.2-22, 5.2-27 MOC211R2 ................... 5.8-9 MPC2107 .................... 2.8-4
MMSZ5263BT1 ....... 5.2-22, 5.2~27 MOC212 ..................... 5.8-9 MPC2604GA ................. 2.8-2 .
MMSZ5264BT1 ....... 5.2-22, 5.2-27 MOC212R2 ................... 5.8-9 MPC27T416 .................. 2.8-2
MMSZ5265BT1 ....... 5.2-22, 5.2-27 MOC213 ..................... 5.8-9 MPC903 .................... 3.1-17
MMSZ5266BT1 ....... 5.2-22, 5.2-27 MOC213R2 ................... 5.8-9 MPC904 .................... 3.1-17
MMSZ5267BT1 ....... 5.2-22, 5.2-27 MOC215 ..................... 5.8-9 MPC930 .................... 3.1-18
MMSZ5268BT1 ....... 5.2-22, 5.2-27 MOC215R2 ................... 5.8-9 MPC931 .................... 3.1-18
MMSZ5269BT1 ....... 5.2-22, 5.2-27 MOC216 ..................... 5.8-9 MPC947 .................... 3.1-17
MMSZ5270BT1 ....... 5.2-22, 5.2-27 MOC216R2 ................... 5.8-9 MPC948 .................... 3.1-17
MMSZ5271 BT1 .............. 5.2-22 MOC217 ..................... 5.8-9 MPC950 .................... 3.1-18
MMSZ56T1 ........... 5.2-22, 5.2-30 MOC217R2 ................... 5.8-9 MPC951 .................... 3.1-18
MMSZ6V2T1 ......... 5.2-21, 5.2-29 MOC223 ..................... 5.8-9 MPC956 .................... 3.1-18
MMSZ6V8T1 ......... 5.2-21, 5.2-29 MOC223R2 . . . . . . . . . . . . . . . . . .. 5.8-9 MPC970 .................... 3.1-18
MMSZ62T1 ........... 5.2-22, 5.2-30 MOC256 ..................... 5.8-9 MPF102 ..................... 5.1-19
MMSZ68T1 ........... 5.2-22, 5.2-30 MOC256R2 .. .. .. .. .. .. .. .. ... 5.8-9 MPF3821 ................... 5.1-19
MMSZ7V5T1 ......... 5.2-21,5.2-29 MOC263 ..................... 5.8-9 MPF4392 ................... 5.1-20
MMSZ75T1 ........... 5.2-22, 5.2-30 MOC263R2 .. .. .. .. .. .. .. .. ... 5.8-9 MPF4393 ................... 5.1-20
MMSZ8V2T1 ......... 5.2-21,5.2-29 MOC3010 .................... 5.8-8 MPF4856 ............. -...... 5.1-20
MMSZ9V1T1 ......... 5.2-21, 5.2-29 MOC3011 .................... 5.8-8 MPF4857 ................... 5.1-20
MMT10V275 ................. 5.7-14 MOC3012 .................... 5.8-8 MPF4859 ................... 5.1-20
MMT10V400 ................. 5.7-14 MOC3021 .................... 5.8-8 MPF4860 ................... 5.1-20
MMUN2111LT1 .............. 5.1-12 MOC3022 ... .. .. .. .. .. .. .. ... 5.8-8 MPF4861 ................... 5.1-20
MMUN2112LT1 .............. 5.1-12 MOC3023 ... .. .. .. .. .. .. . .... 5.8-8 MPF6659 ................... 5.1-21
MMUN2113LT1 .............. 5.1-12 MOC3031 .................... 5.8-8 MPF6660 ................... 5.1-21
MMUN2114LT1 .............. 5.1-12 MOC3032 .................... 5.8-8 MPF6661 ................... 5.1-21
MMUN2115LT1 .............. 5.1-12 MOC3033 ..... .. .. .. .. .. .. ... 5.8-8 MPF910 ..................... 5.1-21
MMUN2116LT1 .............. 5.1-12 MOC3041 .................... 5.8-8 MPF930 ..................... 5.1-21
MMUN2130LT1 .............. 5.1-12 MOC3042 .................... 5.8-8 MPF960 ..................... 5.1-21
MMUN2131LT1 .............. 5.1-12 MOC3043 .................... 5.8-8 MPF970 ..................... 5.1-20
MMUN2132LT1 .............. 5.1-12 MOC3051 .................... 5.8-8 MPF971 .. .. .. .. .. .. .. . .. .... 5.1-20
MMUN2133LT1 .............. 5.1-12 MOC3052 ..... .. .. .. .. .. . .... 5.8-8 MPF990 .. .. .. .. .. .. . . . .. .... 5.1-21
MMUN2134LT1 .............. 5.1-12 MOC3061 .................... 5.8-8 MPN3404 ................... 5.1-35
MMUN2211LT1 .............. 5.1-12 MOC3062 .................... 5.8-8 MPN3700 ................... 5.1-35
MMUN2212LT1 .............. 5.1-12 MOC3063 ................ .... 5.8-8 MPQ2222A ................... 5.1-9
MMUN2213LT1 .............. 5.1-12 MOC3063S .. .. .. .. .. .. .. . .... 5.8-3 MPQ2369 .................... 5.1~
MMUN2214LT1 .............. 5.1-12 MOC3063SR2V .. .. .. .. .. . .... 5.8-3 MPQ2483 .................... 5.1-9
MMUN2215LT1 .............. 5.1-12 MOC3063SV ................. 5.8-3 MPQ2484 .................... 5.1-9
MMUN2216LT1 .............. 5.1-12 MOC3063TV ................. 5.8-3 MPQ2907A ................... 5.1-9
MMUN2230LT1 .............. 5.1-12 MOC3063V . . . . . . . . . . . . . . . . . .. 5.8-3 MPQ3467 .................... 5.1-9
MMUN2231LT1 .............. 5.1-12 MOC3081 .................... 5.8-8 MPQ3725 .................... 5.1-9
MMUN2232LT1 .............. 5.1-12 MOC3082 .................... 5.8-8 MPQ3762 .................... 5.1-9
MMUN2233LT1 .............. 5.1-12 MOC3083 ....... .. .. .. .. .. ... 5.8-8 MPQ3798 .................... 5.1-9
MMUN2234LT1 .............. 5.1-12 MOC3162 .................... 5.8-8 MPQ3799 .................... 5.1-9
MOCD207 .. .. .. .. .. .. .. .. .... 5.8-9 MOC3163 .................... 5.8-8 MPQ3904 .................... 5.1-9
MOCD207R2 ................. 5.8-9 MOC5007 ....... . . . . .. . . . . ... 5.8-8 MPQ3906 .................... 5.1-9
MOCD208 .. .. .. .. .. .. .. .. .... 5.8-9 MOC5008 ....... .. .. .. .. .. ... 5.8-8 MPQ6001 .................... 5.1-9
MOCD208R2 ................. 5.8-9 MOC5009 ... .. .. .. .. .. .. .. ... 5.8-8 MPQ6002 .................... 5.1-9
MOCD211 .................... 5.8-9 MOC8020 '" ................ , 5.8-7 MPQ6100A ................... 5.1~
MOCD211 R2 ................. 5.8-9 MOC8021 .................... 5.8-7 MPQ6426 .................... 5.1~
MOCD213 .................... 5.8-9 MOC8030 .................... 5.8-7 MPQ6501 .................... 5.1-9
MOCD213R2 ................. 5.8-9 MOC8050 .................... 5.8-7 MPQ6502 .................... 5.1~
MOCD217 . . . . . . . . . . . . . . . . . . .. 5.8-9 MOC8080 ... .. .. .. .. .. .. .. ... 5.8-7 MPQ6600A1 .................. 5.1-9
MOCD217R2 ................. 5.8-9 MOC8100 .................... 5.8-6 MPQ6700 .................... 5.1-9
MOCD223 . . . . . . . . . . . . .. . . . . .. 5.8-9 MOC8101 .................... 5.8-6 MPQ6842 .................... 5.1-9
MOCD223R2 ................. 5.8-9 MOC8102 .................... 5.8-8 MPQ7042 .................... 5.1-9
MOC119 ..................... 5.8-7 MOC8103 .................... 5.8-8 MPQ7043 .................... 5.1-9
MOC2A40-10 ............... 5.8-10 MOC8104 .................... 5.8-8 MPQ7051 .................... 5.1-9
MOC2A40-5 ................. 5.8-10 MOC8105 .................... 5.8-8 MPQ7093 .................... 5.1-9

Motorola Master Selection Guide 7.1-19 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MPSA05 ..................... 5.1-2 MPTE-l0 ........ : ........... 5.2-6 MPX2052D . . . . . . . . . . . . . . . . . .. 5.9-6
MPSA06 ..................... 5.1-2 MPTE-l0C ................... 5.2-6 MPX2100A ............. 5.9-6,5.9-7
MPSA13 ..................... 5.1-4 MPTE-12 .................... 5.2-6 MPX2100AP .................. 5.9-7
MPSA14 ..................... 5.1-4 MPTE-12C ................... 5.2-6 MPX2100AS .................. 5.9-7
MPSA16 ..................... 5.1-7 MPTE-15 .................... 5.2-6 MPX2100ASX ................ 5.9-7
MPSA17 ..................... 5.1-7 MPTE-15C ................... 5.2-6 MPX2100D ............. 5.9-6,5.9-7
MPSA18 ..................... 5.1-3 MPTE-18 .................... 5.2-6 MPX2100DP .................. 5.9-7
MPSA20 ..................... 5.1-2 MPTE-18C ................... '5.2-6 MPX2100GP ................. 5.9-7
MPSA27 ..................... 5.1-4 MPTE-22 .................... 5.2-6 MPX2100GS ................. 5.9-7
MPSA29 ..................... 5.1-4 MPTE-36 .................... 5.2-6 MPX2100GSX ................ 5.9-7
MPSA42 ..................... 5.1-5 MPTE-36C . . . . . . . . . . . . . . . . . .. 5.2-6 MPX2100GVP ................ 5.9-7
MPSA44 ..................... 5.1-5 MPTE-45 .................... 5.2-6 MPX2100GVS ................ 5.9-7
MPSA55 ..................... 5.1-2 MPTE-45C . . . . . . . . . . . . . . . . . .. 5.2-6 MPX2100GVSX . . . . . . . . . . . . . .. 5.9-7
MPSA56 ..................... 5.1-2 MPTE-5 ..................... 5.2-6 MPX2200A ............. 5.9-6, 5.9-7
MPSA63 ..................... 5.1-4 MPTE-6 ..................... 5.2-6 MPX2200AP .................. 5.9-7
MPSA64 ..................... 5.1-4 MPTE-6C .................... 5.2-6 MPX2200AS .................. 5.9-7
MPSA70 ..................... 5.1-2 MPXS4100A Series ........... 5.9-6 MPX2200ASX ................ 5.9-7
MPSA75 ..................... 5.1-4 MPXS4115A Series ............ 5.9-6 MPX2200D ............. 5.9-6, 5.9-7
MPSA77 ..................... 5.1-4 MPXT2010G Series ........... 5.9-6 MPX2200DP .................. 5.9-7
MPSA92 ..................... 5.1-5 MPX10D ............... 5.9-6,5.9-7 MPX2200GP ................. 5.9-7
MPSH07A .................... 5.1-6 MPX10DP .................... 5.9-7 MPX2200GS ................. 5.9-7
MPSH10 ..................... 5.1-6 MPX10GP .................... 5.9-7 MPX2200GSX ................ 5.9-7
MPSHll ..................... 5.1-6 MPX10GS .................... 5.9-7 MPX2200GVP ................ 5.9-7
MPSH17 ..................... 5.1-6 MPX10GSX .................. 5.9-7 MPX2200GVS ................ 5.9-7
MPSH20 ..................... 5.1-6 MPX10GVP .................. 5.9-7 MPX2200GVSX . . . . . . . . . . . . . .. 5.9-7
MPSH24 ..................... 5.1-6 MPX10GVS .................. 5.9-7 MPX2300DTI .. . . . . . . . . . . . . . .. 5.9-6
MPSH69 ..................... 5.1-6 MPX10GVSX ................. 5.9-7 MPX2700A ............. 5.9-6, 5.9-7
MPSH81 ..................... 5.1-6 MPX100A .............. 5.9-6,5.9-7 MPX2700AP . . . . . . . . . . . . . . . . .. 5.9-7
MPSW01A ................... 5.1-4 MPX100AP .................. , 5.9-7 MPX2700AS . . . . . . . . . . . . . . . . .. 5.9-7
MPSW06 ..................... 5.1-3 MPX100AS ................... 5.9-7 MPX2700ASX ................ 5.9-7
MPSW42 ..................... 5.1-5 MPX100ASX ................. 5.9-7 MPX2700D . . . . . . . . . . . .. 5.9-6, 5.9-7
MPSW45A ................... 5.1-4 MPX100D .............. 5.9-6,5.9-7 MPX2700DP . . . . . . . . . . . . . . . . .. 5.9-7
MPSW51A ................... 5.1-4 MPX100DP ................... 5.9-7 MPX2700GP ................. 5.9-7
MPSW56 ..................... 5.1-3 MPX100GP ................... 5.9-7 MPX2700GSX ................ 5.9-7
MPSW64 ..................... 5.1-4 MPX100GS .............. " ... 5.9-7 MPX4100A ............. 5.9-6,5.9-8
MPSW92 ..................... 5.1-5 MPX100GSX ................. 5.9-7 MPX4100AP .................. 5.9-8
MPS2222A ................... 5.1-2 MPX100GVP ................. 5.9-7 MPX4100AS . . . . . . . . . . . . . . . . .. 5.9-8
MPS2369A ................... 5.1-6 MPX100GVS ................. 5.9-7 MPX4100ASX ................ 5.9-8
MPS2907A ................... 5.1-2 MPX100GVSX ................ 5.9-7 MPX4101A ............. 5.9-6,5.9-8
MPS3563 .................... 5.1-6 MPX200A .............. 5.9-6,5.9-7 MPX4101AP .................. 5.9-8
MPS3646 .................... 5.1-6 MPX200AP .................. , 5.9-7 MPX4101AS .................. 5.9-8
MPS3866 ............ 5.1-6,5.10-15 MPX200AS ................... 5.9-7 MPX4101ASX ................ 5.9-8
MPS3904 .................... 5.1-3 MPX200ASX ................. 5.9-7 MPX4115A ............. 5.9-6,5.9-8
MPS3906 .................... 5.1-3 MPX200D .............. 5.9-6,5.9-7 MPX4115AP ..............•... 5.9-8
MPS404A .................... 5.1-7 MPX200DP . . . . . . . . . . . . . . . . . .. 5.9-7 MPX4115AS .................. 5.9-8
MPS4250 .................... 5.1-3 MPX200GP . . . . . . . . . . . . . . . . . .. 5.9-7 MPX4115ASX ................ 5.9-8
MPS4258 .................... 5.1-6 MPX200GS ................... 5.9-7 MPX4250A ............. 5.9-6,5.9-8
MPS5179 .................... 5.1-6 MPX200GSX ................. 5.9-7 MPX4250AP .................. 5.9-8
MPS571 ........... 5.10-15,5.10-18 MPX200GVP ................. 5.9-7 MPX4250AS . . . . . . . . . . . . . . . . .. 5.9-8
MPS6428 .................... 5.1-3 MPX200GVS ................. 5.9-7 MPX4250ASX ................ 5.9-8
MPS650 ..................... 5.1-4 MPX200GVSX ................ 5.9-7 MPX50D ............... 5.9-6,5.9-7
MPS651 ..................... 5.1-4 MPX2010D ............. 5.9-6,5.9-7 MPX50DP . . . . . . . . . . . . . . . . . . .. 5.9-7
MPS6521 .................... 5.1-3 MPX2010DP .................. 5.9-7 MPX50GP .................... 5.9-7
MPS6523 .................... 5.1-3 MPX2010GP ................. 5.9-7 MPX50GS .................... 5.9-7
MPS6595 .................... 5.1-6 MPX2010GS ................. 5.9-7 MPX50GSX .................. 5.9-7
MPS6602 .................... 5.1-2 MPX2010GSX ................ 5.9-7 MPX50GVP .................. 5.9-7
MPS6652 .................... 5.1-2 MPX2010GVP ................ 5.9-7 MPX50GVS .................. 5.9-7
MPS6715 .................... 5.1-4 MPX2010GVS ................ 5.9-7 MPX50GVSX ................. 5.9-7
MPS6717 .................... 5.1-3 MPX2010GVSX ............... 5.9-7 MPX5010D ............. 5.9-6,5.9-8
MPS6727 .................... 5.1-4 MPX2050D ............. 5.9-6,5.9-7 MPX5010DP .................. 5.9-8
MPS750 ..................... 5.1-4 MPX2050DP .................. 5.9-7 MPX5010GP ................. 5.9-8
MPS751 ..................... 5.1-4 MPX2050GP ................. 5.9-7 MPX5010GS ................. 5.9-8
MPS8099 .................... 5.1-2 MPX2050GS ................. 5.9-7 MPX5010GSX ................ 5.9-8
MPS8599 .....................5.1-2 MPX2050GSX ................ 5.9-7 MPX5010GVP ...............• 5.9-8
MPS901 ........... 5.10-15,5.10-18 MPX2050GVP ................ 5.9-7 MPX5010GVS ................ 5.9-8
MPS911 ............ 5.10-15,5.10-18 MPX2050GVS ................ 5.9-7 MPX5010GVSX . . . . . . . . . . . . . .. 5.9-8
MPS918 ..................... 5.1-6 MPX2050GVSX . . . . . . . . . . . . . .. 5.9-7 MPX5050D ............. 5.9-6, 5.9-8

Device Index 7.1-20 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MPX5050DP . . . . . . . . . . . . . . . . .. 5.9-8 MPX7200GSX ................ 5.9-9 MRF136 .................... 5.10-4
MPX5050GP ................. 5.9-8 MPX7200GVP ................ 5.9-9 MRF136Y ................... 5.10-4
MPX5050GS ................. 5.9-8 MPX7200GVS ................ 5.9-9 MRF137 .................... 5.10-4
MPX5050GSX ................ 5.9-8 MPX7200GVSX . . . . . . . . . . . . . .. 5.9-9 MRF1375 .................. 5.10-10
MPX5050GVP ................ 5.9-8 MPX906D .............. 5.9-6, 5.9-7 MRF138 .................... 5.10-4
MPX5050GVS ................ 5.9-8 MPX906GVW . . . . . . . . . . . . . . . .. 5.9-7 MRF140 .................... 5.10-4
MPX5050GVSX ............... 5.9-8 MRA1000-14L .............. 5.10-12 MRF141 .................... 5.10-4
MPX5100A ............. 5.9-6,5.9-8 MRA1000-7L ............... 5.10-12 MRF141G ................... 5.10-4
MPX5100AP ................... 5.9-8 MRA4003 ................... 5.6-13 MRF148 .................... 5.10-4
MPX5100AS .................. 5.9-8 MRA4004 ................... 5.6-13 MRF150 .................... 5.10-4
MPX5100ASX ................ 5.9-8 MRA4005 ................... 5.6-13 MRF15030 ................. 5.10-13
MPX5100D ............. 5.9-6,5.9-8 MRA4006 ................... 5.6-13 MRF15060 ................. 5.10-13
MPX5100DP .................. 5.9-8 MRA4007 ................... 5.6-13 MRF15090 ................. 5.10-13
MPX5100GP .........•....... 5.9-8 MRA4935T3 ................. 5.6-13 MRF151 .................... 5.10-4
MPX5100GS ................. 5.9-8 MRA4936T3 ................. 5.6-13 MRF151G ................... 5.10-4
MPX5100GSX ................ 5.9-8 MRA4937T3 ................. 5.6-13 MRF154 .................... 5.10-4
MPX5100GVP ................ 5.9-8 MRFA2600 ................. 5.10-29 MRF157 .................... 5.10-4
MPX5100GVS ................ 5.9-8 MRFA2602 ................. 5.10-29 MRF158 .................... 5.10-5
MPX5100GVSX ............... 5.9-8 MRFA2604 ................. 5.10-29 MRF160 .................... 5.10-5
MPX5500D . . . . . . . . . . . .. 5.9-6, 5.9-8 MRFIC0001 ................ 5.10-22 MRF16006 ................. 5.10-10
MPX5500DP . . . . . . . . . . . . . . . . .. 5.9-8 MRFIC0903 ................ 5.10-21 MRF16030 ................. 5.10-10
MPX5500GP ................. 5.9-8 MRFIC0904 ................ 5.10-23 MRF164W ................... 5.10-5
MPX5500GS ................. 5.9-8 MRFIC0910 ................ 5.10-23 MRF166C ................... 5.10-5
MPX5500GSX ................ 5.9-8 MRFIC0911 ................ 5.10-23 MRF166W ................... 5.10-5
MPX5700D . . . . . . . . . . . .. 5.9-6, 5.9-8 MRFIC0912 ................ 5.10-23 MRF173 .................... 5.10-4
MPX5700DP . . . . . . . . . . . . . . . . .. 5.9-8 MRFIC0913 ................ 5.10-24 MRF174 .................... 5.10-4
MPX5700GP ................. 5.9-8 MRFIC0914 ................ 5.10-24 MRF175GU ................. 5.10-5
MPX5700GS ................. 5.9-8 MRFIC0915 ........ 5.10-21, 5.10-22 MRF175GV .................. 5.10-4
MPX5700GSX ................ 5.9-8 MRFIC0916 ........ 5.10-21, 5.10-22 MRF175LU .................. 5.10-5
MPX5999D . . . . . . . . • . . .. 5.9-6, 5.9-8 MRFIC0917 ................ 5.10-24 MRF175LV .................. 5.10-4
MPX700A .............. 5.9-6, 5.9-7 MRFIC1501 ................ 5.10-21 MRF176GU ................. 5.10-5
MPX700AP . . . . . . . . . . . . . . . . . .. 5.9-7 MRFIC1801 ................ 5.10-21 MRF176GV .................. 5.10-4
MPX700AS . . . . . . . . . . . . . . . . . .. 5.9-7 MRFIC1803 ........ 5.10-24, 5.10-25 MRF177 .................... 5.10-5
MPX700ASX ................. 5.9-7 MRFIC1804 ................ 5.10-22 MRF177M ................... 5.10-5
MPX700D .............. 5.9-6, 5.9-7 MRFIC1806 ................ 5.10-24 MRF181 .................... 5.10-5
MPX700DP . . . . . . . . . . . . . . . . . .. 5.9-7 MRFIC1807 ................ 5.10-25 MRF182 .................... 5.10-5
MPX700GP . . . . . . . . . . . . . . . . . .. 5.9-7 MRFIC1808 ................ 5.10-21 MRF182S ................... 5.10-5
MPX700GS . . . . . . . . . . . . . . . . . .. 5.9-7 MRFIC1813 ........ 5.10-24,5.10-25 MRF183 .................... 5.10-5
MPX700GSX ................. 5.9-7 MRFIC1814 ................ 5.10-22 MRF183S ................... 5.10-5
MPX7050D . . . . . . . . . . . .. 5.9-6, 5.9-9 MRFIC1816 ................ 5.10-24 MRF184 .................... 5.10-5
MPX7050DP . . . . . . . . . . . . . . . . .. 5.9-9 MRFIC1818 ................ 5.10-24 MRF185 .................... 5.10-5
MPX7050GP ................. 5.9-9 MRFIC2001 ................ 5.10-21 MRF1946 ................... 5.10-7
MPX7050GS ................. 5.9-9 MRFIC2002 ................ 5.10-23 MRF1946A .................. 5.10-7
MPX7050GSX ................ 5.9-9 MRFIC2003 ................ 5.10-21 MRF2000-5L ............... 5.10-12
MPX7050GVP ................ 5.9-9 MRFIC2004 ................ 5.10-23 MRF20060 ................. 5.10-13
MPX7050GVS ................ 5.9-9 MRFIC2006 ................ 5.10-23 MRF224 .................... 5.10-7
MPX7050GVSX ............... 5.9-9 MRFIC2101 ................ 5.10-23 MRF240 .................... 5.10-7
MPX7100A ............. 5.9-6,5.9-9 MRFIC2401 ................ 5.10-22 MRF247 .................... 5.10-7
MPX7100AP .................. 5.9-9 MRFIC2403 ................ 5.10-25 MRF255 .................... 5.10-4
MPX7100AS .................. 5.9-9 MRFIC2404 ................ 5.10-25 MRF2628 ................... 5.10-7
MPX7100ASX ................ 5.9-9 MRF0211LT1 ....... 5.10-17,5.10-18 MRF3094 .................. 5.10-12
MPX7100D ......•...... 5.9-6,5.9-9 MRF1000MA ............... 5.10-10 MRF3095 .................. 5.10-12
MPX7100DP .................. 5.9-9 MRF1000MB ............... 5.10-10 MRF3096 .................. 5.10-12
MPX7100GP ................. 5.9-9 MRF10005 ................. 5.10-10 MRF3104 .................. 5.10-12
MPX7100GS ................. 5.9-9 MRF10031 ................. 5.10-10 MRF3105 .................. 5.10-12
MPX7100GSX ................ 5.9-9 MRF1004MA ............... 5.10-10 MRF3106 .................. 5.10-12
MPX7100GVP ................ 5.9-9 MRF10070 ................. 5.10-11 MRF313 .................... 5.10-7
MPX7100GVS ................ 5.9-9 MRF10120 ................. 5.10-10 MRF314 .................... 5.10-6
MPX7100GVSX . . . . . . . . . . . . . .. 5.9-9 MRF10150 ................. 5.10-11 MRF316 .................... 5.10-6
MPX7200A ............. 5.9-6,5.9-9 MRF1029 .................. 5.10-12 MRF317 .................... 5.10-6
MPX7200AP .................. 5.9-9 MRF1032 .................. 5.10-12 MRF321 .................... 5.10-7
MPX7200AS .................. 5.9-9 MRF10350 ................. 5.10-11 MRF323 .................... 5.10-7
MPX7200ASX ................ 5.9-9 MRF10500 ................. 5.10-11 MRF325 .................... 5.10-7
MPX7200D ... . . . . . . . . .. 5.9-6, 5.9-9 MRF10501 ................. 5.10-11 MRF326 .................... 5.10-7
MPX7200DP . . . . . . . . . . . . . . . . .. 5.9-9 MRF1090MA ............... 5.10-10 MRF327 .................... 5.10-7
MPX7200GP ........•.....•.. 5.9-9 MRF1150MA ............... 5.10-10 MRF329 .................... 5.10-7
MPX7200GS ........•.....•.. 5.9-9 MRF134 .................... 5.10-4 MRF3866R2 ................ 5.10-17

Motorola Master Selection Guide 7.1-21 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MRF392 .................... 5.10-7 MRF897 .................... 5.10-9 MTB3N120E ................. 5.4-11
MRF393 .................... 5.10-7 MRF897R ................... 5.10-9 MTB30N06EL. ............... 5.4-11
MRF421 .................... 5.10-6 MRF898 .................... 5.10-9 MTB30N06VL .....•.... 5.4-6, 5.4-21
MRF422 .................... 5.10-6 MRF899 .................... 5.10-9 MTB30P06V . . . . . . . . . . . . . . . . .. 5.4-6
MRF426 ...............•.... 5.10-6 MRF901 ........... 5.10-16,5.10-18 MTB33N10E ................. 5.4-11
MRF429 .................... 5.10-6 MRF9011LT1 ....... 5.10-17,5.10-18 MTB36N06V ........... 5.4-6,5.4-11
MRF4427 ........... 5.10-7,5.10-17 MRF927T1 ......... 5.10-17,5.10-18 MTB4N80E .................. 5.4-11
MRF448 .................... 5.10-6 MRF9331LT1 ............... 5.10-17 MTB50N06V ........... 5.4-6,5.4-11
MRF454 .................... 5.10-6 MRF941 ........... 5.10-16,5.10-18 MTB50N06VL ... 5.4-6,5.4-11,5.4-21
MRF455 .................... 5.10-6 MRF9411BLT1 ...... 5.10-17,5.10-18 MTB50P03HDL ....... 5.4-3,5.4-19,
MRF492 .................... 5.10-6 MRF9411LT1 ....... 5.10-17,5.10-18 5.4-21
MRF5003 ................... 5.10-5 MRF947AT1 ........ 5.10-17,5.10-18 MTB52N06V . . . . . . . . . . . . . . . . .. 5.4-6
MRF5007 ................... 5.10-5 MRF947BT1 ........ 5.10-17,5.10-18 MTB6N60E .................. 5.4-11
MRF5015 ................... 5.10-5 MRF947RT3 ........ 5.10-17,5.10-18 MTB60N06HD ......... 5.4-3,5.4-11
MRF5035 ................... 5.10-5 MRF947T1 ......... 5.10-17,5.10-18 MTB75N03HDL ........ 5.4-3, 5.4-11,
MRF5211LT1 ....... 5.10-17,5.10-18 MRF951 ........... 5.10-16,5.10-18 5.4-21
MRF553 ............ 5.10-7,5.10-16 MRF9511ALT1 ...... 5.10-17,5.10-18 MTB75N05HD ......... 5.4-3,5.4-11
MRF555 ............ 5.10-a, 5:10-16 MRF9511LT1 ....... 5.10-17,5.10-18 MTB75N06HD ......... 5.4-3,5.4-11
MRF557 ............ 5.10-a, 5.10-16 MRF957T1 ......... 5.10-17,5.10-18 MTB8N50E .................. 5.4-11
MRF559 ............ 5.10-8,5.10-16 MRW2001 .................. 5.10-11 MTB9N25E .................. 5.4-11
MRF571 ........... 5.10-16,5.10-18 MRW2005 .................. 5.10-11 MTDF1 N02HD .......... 5.4-4,5.4-9
MRF5711LT1 ....... 5.10-17,5.10-18 MRW3001 .................. 5.10-11 MTDF1 N03HD .......... 5.4-4, 5.4-9
MRF581 ........... 5.10-a, 5.10-16, MRW3003 .................. 5.10-11 MTD1N50E .................. 5.4-10
5.10-18,5.10-19 MRW3005 .................. 5.10-11 MTD1N60E .................. 5.4-10
MRF581A .......... 5.10-16,5.10-19 MRW53502 ................. 5.10-13 MTD1N80E .................. 5.4-10
MRF5811 LT1 ....... 5.10-17,5.10-18 MRW53601 ................. 5.10-13 MTD1P50E .................. 5.4-18
MRF5812 ......... 5.10-17,5.10-18, MRW54001 ................. 5.10-13 MTD10N05E ................. 5.4-10
5.10-19 MRW54601 ................. 5.10-13 MTD10N10EL ........ 5.4-10,5.4-20
MRF587 ........... 5.10-17,5.10-19 MR2504 ..................... 5.6-13 MTD15N06V .... 5.4-5,5.4-10,5.4-20
MRF5943 .......... 5.10-17,5.10-19 MR2510 ..................... 5.6-13 MTD15N06VL ................ 5.4-5
MRF6401 .................. 5.10-13 MR2535L ...... 5.2-15,5.6-3,5.6-13 MTD2N40E .................. 5.4-10
MRF6402 .................. 5.10-13 MR2535S ............. 5.6-3,5.6-13 MTD2N50E .................. 5.4-10
MRF6404 .................. 5.10-13 MR754 ...................... 5.6-13 MTD20N03HDL ....... 5.4-3,5.4-10,
MRF6408 .................. 5.10-13 MR760 ...................... 5.6-13 5.4-20
MRF641 .................... 5.10-6 MR852 ...................... 5.6-13 MTD20N06HD ......... 5.4-3,5.4-10
MRF6414 .................. 5.10-12 MR856 ...................... 5.6-13 MTD20N06HDL . 5.4-3,5.4-10,5.4-20
MRF644 .................... 5.10-6 MSA1022-BT1 ............... 5.1-13 MTD20N06V . . . . . . . . . • . . . . . . .. 5.4-5
MRF650 .................... 5.10-6 MSA1022-CT1 .............. 5.1-13 MTD20P03HDL ....... 5.4-3,5.4-18,
MRF652 .................... 5.10-6 MSB1218A-RT1 ............. 5.1-11 5.4-20
MRF652S ................... 5.10-6 MSB709-RT1 ................ 5.1-11 MTD20P06HDL ....... 5.4-3,5.4-18,
MRF653 .................... 5.10-6 MSB709-ST1 ................ 5.1-11 5.4-20
MRF653S ................... 5.10-6 MSB71O-QT1 ............... 5.1-11 MTD2955V ................... 5.4-6
MRF654 .................... 5.10-6 MSB710-RT1 ................ 5.1-11 MTD3N25E .................. 5.4-10
MRF658 .................... 5.10-6 MSB81T1 ................... 5.1-13 MTD30P06V ................. 5.4-19
MRF837 ............ 5.10-a, 5.10-16 MSC1621T1 ................. 5.1-13 MTD3055V ............ 5.4-5,5.4-10
MRF8372 ........... 5.10-a, 5.10-17 MSC2295-BT1 .............. 5.1-13 MTD3055VL .... 5.4-5,5.4-10,5.4-20
MRF839F ................... 5.10-8 MSC2295-CT1 .............. 5.1-13 MTD4N20E .................. 5.4-~0
MRF840 .................... 5.10-6 MSC2404-CT1 .............. 5.1-13 MTD5N10E .................. 5.4-10
MRF842 .................... 5.10-6 MSC3130T1 ................. 5.1-13 MTD5N25E .................. 5.4-10
MRF844 .................... 5.10-a MSC3930-BT1 .............. 5.1-11 MTD5P06E .................. 5.4-18
MRF847 .................... 5.10-6 MSD1010T1 .......... 5.1-15,5.1-40 MTD5P06V ............ 5.4-6,5.4-18
MRF857 .................... 5.10-9 MSD1328-RT1 .............. 5.1-11 MTD6N10E .................. 5.4-10
MRF857S ................... 5.10-9 MSD1819A-RT1 ............. 5.1-11 MTD6N15 ................... 5.4-10
MRF858 .................... 5.10-9 MSD601-RT1 ............... 5.1-11 MTD6N20E .................. 5.4-10
MRF858S ................... 5.10-9 MSD601-5T1 ................ 5.1-11 MTD6P10E .... ; ............. 5.4-18
MRF859 .................... 5.10-9 MSD602-RT1 ............... 5.1-11 MTD8N06E .................. 5.4-10
MRF859S ................... 5.10-9 MTB1N100E ................. 5.4-11 MTD9N10E .................. 5.4-10
MRF860 .................... 5.10-9 MTB10N40E ................. 5.4-11 MTP1N100E ................. 5.4-13
MRF861 .................... 5.10-9 MTB15N06V ........... 5.4-6,5.4-11 MTP1N50E .................. 5.4-13
MRF862 .................... 5.10-9 MTB15N06VL. ................ 5.4-6 MTP1N60E .................. 5.4-13
MRF880 .................... 5.10-9 MTB16N25E ................. 5.4-11 MTP10N10E ................. 5.4-13
MRF890 .................... 5.10-9 MTB2P50E .................. 5.4;-19 MTP10N10EL ......... 5.4-13,5.4-21
MRF891 .................... 5.10-9 MTB20N06V . . . . . . . . . . . . . . . . .. 5.4-6 MTP10N40E ................. 5.4-13
MRF891S ...........•....... 5.10-9 MTB20N20E ................. 5.4-11 MTP12N10E ................. 5.4-13
MRF892 ........•........... 5.10-9 MTB23P06E ................. 5.4-19 MTP12P10 .................. 5.4-19
MRF894 .................... 5.10-9 MTB23P06V . . . . . . . . . . . . . . . . .. 5.4-6 MTP15N05EL ......... 5.4-14,5.4-21
MRF896 .................... 5.10-9 MTB3N100E ................. 5.4-11 MTP15N06V ........... 5.4-5,5.4-14

Device Index 7.1-22 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
MTP15N06VL ... 5.4-5,5.4-14,5.4-21 MTWBN60E ................. 5.4-15 MUR10120E ........... 5.6-3,5.6-11
MTP16N25E ................. 5.4-13 MTY100N10E ................ 5.4-16 MUR10150E ........... 5.6-3,5.6-11
MTP2N40E .................. 5.4-13 MTY20N50E ................. 5.4-16 MUR1100E .................. 5.6-10
MTP2N50E .................. 5.4-13 MTY25N60E ................. 5.4-16 MUR120 .................... 5.6-10
MTP2N60E .................. 5.4-13 MTY30N50E ................. 5.4-16 MUR1520 ................... 5.6-11
MTP2P50E .................. 5.4-19 MTY55N20E ................. 5.4-16 MUR1540 ................... 5.6-11
MTP20N06V ........... 5.4-5,5.4-14 MUN2111T1 ................. 5.1-12 MUR1560 ................... 5.6-11
MTP20N20E ................ , 5.4-13 MUN2112T1 ................. 5.1-12 MUR160 .................... 5.6-10
MTP23P06V ........... 5.4-6,5.4-19 MUN2113T1 ................. 5.1-12 MUR1620CT ................ 5.6-11
MTP27N10E ................. 5.4-13 MUN2114T1 ................. 5.1-12 MUR1620CTR ............... 5.6-11
MTP2955V ............ 5.4-6,5.4-19 MUN2115T1 ................. 5.1-12 MUR1640CT ................ 5.6-11
MTP3N100E ................. 5.4-13 MUN2116T1 ................. 5.1-12 MUR1660CT ................ 5.6-11
MTP3N120E ................. 5.4-13 MUN2130T1 ................. 5.1-12 MUR3020PT ................. 5.6-12
MTP3N25E .................. 5.4-13 MUN2131T1 ................. 5.1-12 MUR3020WT ................ 5.6-12
MTP3N50E .................. 5.4-13 MUN2132T1 ................. 5.1-12 MUR3040K .................. 5.6-12
MTP3N60E ............ 4.8-9,5.4-13 MUN2133T1 ................. 5.1-12 MUR3040PT ................. 5.6-12
MTP30N06EL . . . . . . . . . . . . . . .. 5.4-21 MUN2134T1 ................. 5.1-12 MUR3040WT ................ 5.6-12
MTP30N06VL ... 5.4-5,5.4-14,5.4-21 MUN2211T1 ................. 5.1-12 MUR3060PT ................. 5.6-12
MTP30P06V . . . . . . . . . . . . . . . . .. 5.4-6 MUN2212T1 ................. 5.1-12 MUR3060WT ................ 5.6-12
MTP3055EL ................. 5.4-21 MUN2213T1 ................. 5.1-12 MUR30BOK .................. 5.6-12
MTP3055V ............ 5.4-5,5.4-14 MUN2214T1 ................. 5.1-12 MUR4100E .................. 5.6-10
MTP3055VL .... 5.4-5,5.4-14,5.4-21 MUN2215T1 ................. 5.1-12 MUR420 .................... 5.6-10
MTP33N10E ................. 5.4-13 MUN2216T1 ................. 5.1-12 MUR460 .................... 5.6-10
MTP36N06V ........... 5.4-5,5.4-14 MUN2230T1 ................. 5.1-12 MUR6040 ................... 5.6-12
MTP4N40E .................. 5.4-13 MUN2231T1 ................. 5.1-12 MUR62OCT .................. 5.6-11
MTP4N50E .................. 5.4-13 MUN2232T1 ................. 5.1-12 MURB100E .................. 5.6-11
MTP4NBOE .................. 5.4-13 MUN2233T1 ................. 5.1-12 MURB20 .................... 5.6-11
MTP5N40E .................. 5.4-13 MUN2234T1 ................. 5.1-12 MURB40K .................. , 5.6-11
MTP5P06V ............ 5.4-6,5.4-19 MUN5111T1 ................. 5.1-12 MURB60K ................... 5.6-11
MTPSON05EL . . . . . • . . . . . . . . .. 5.4-21 MUN5112T1 ................. 5.1-12 MUR880E ............. 5.6-3,5.6-11
MTP50N06EL. . . . . . . . . . . . . . .. 5.4-21 MUN5113T1 ................. 5.1-12 MVAM10B ................... 5.1-31
MTP50N06V ........... 5.4-5,5.4-14 MUN5114T1 ................. 5.1-12 MVAM109 ................... 5.1-31
MTP50N06VL ... 5.4-5,5.4-14,5.4-21 MUN5115T1 ................. 5.1-12 MVAM115 ................... 5.1-31
MTP50P03HDL ....... 5.4-3,5.4-19, MUN5116T1 ................. 5.1-12 MVAM125 ................... 5.1-31
5.4-21 MUN5130T1 ................. 5.1-12 MV104 ...................... 5.1-27
MTP52N06V ........... 5.4-5,5.4-14 MUN5131T1 ................. 5.1-12 MV1403 ..................... 5.1-31
MTP52N06VL ... 5.4-5,5.4-14,5.4-21 MUN5132T1 ................. 5.1-12 MV1404 ..................... 5.1-31
MTP6N60E .................. 5.4-13 MUN5133T1 ................. 5.1-12 MV1405 ..................... 5.1-31
MTP6P20E .................. 5.4-19 MUN5134T1 ................. 5.1-12 MV1620 ..................... 5.1-26
MTP60N06HD ......... 5.4-3,5.4-14 MUN5211T1 ................. 5.1-12 MV1624 ..................... 5.1-26
MTP7N20E . . . . . . . . . . . . . . . . .. 5.4-13 MUN5212T1 ................. 5.1-12 MV1626 ..................... 5.1-26
MTP75N03HDL ....... 5.4-3,5.4-14, MUN5213T1 ................. 5.1-12 MV162B ..................... 5.1-26
5.4-21 MUN5214T1 ................. 5.1-12 MV1630 ..................... 5.1-26
MTP75N05HD ......... 5.4-3,5.4-14 MUN5215T1 ................. 5.1-12 MV1634 ..................... 5.1-26
MTP75N06HD ......... 5.4-3,5.4-14 MUN5216T1 ................. 5.1-12 MV1636 ..................... 5.1-26
MTPBN50E .................. 5.4-13 MUN5230T1 ................. 5.1-12 MV163B ..................... 5.1-26
MTP9N25E .................. 5.4-13 MUN5231T1 ................. 5.1-12 MV1640 ..................... 5.1-26
MTSF1P02HD ......... 5.4-4,5.4-17 MUN5232T1 ................. 5.1-12 MV1642 ..................... 5.1-26
MTSF3N03HD ........ .. 5.4-4, 5.4-9 MUN5233T1 ................. 5.1-12 MV1644 ..................... 5.1-26
MTV10N100E ................ 5.4-12 MUN5234T1 ................. 5.1-12 MV164B ..................... 5.1-26
MTV16N50E ................. 5.4-12 MURB1620CT ............... 5.6-10 MV1650 ..................... 5.1-26
MTV20N50E ................. 5.4-12 MURB1660CT ............... 5.6-10 MV209 ...................... 5.1-30
MTV25N50E ................ , 5.4-12 MURD320 ................... 5.6-10 MV2101 ..................... 5.1-26
MTV32N05E .... , ............ 5.4-12 MURD620CT ................ 5.6-10 MV2103 ..................... 5.1-26
MTV32N20E ................. 5.4-12 MURF1620CTK .............. 5.6-11 MV21 04 ..................... 5.1-26
MTV6N100E .... , ............ 5.4-12 MURF1660CTK .............. 5.6-11 MV2105 ..................... 5.1-26
MTW10N100E ............... 5.4-15 MURFB20K .................. 5.6-11 MV2107 ..................... 5.1-26
MTW14N50E ................ 5.4-15 MURHBB40CT ................ 5.6-3 MV210B ..................... 5.1-26
MTW16N40E ................ 5.4-15 MURHBB40CTK ............. 5.6-10 MV2109 ..................... 5.1-26
MTW20N50E ................ 5.4-15 MURHB40CT .......... 5.6-3,5.6-11 MV2111 ..................... 5.1-26
MTW24N40E ................ 5.4-15 MURHB60CT .......... 5.6-3,5.6-11 MV2113 ..................... 5.1-26
MTW32N20E ................ 5.4-15 MURP20020CTK ............. 5.6-12 MV2114 ..................... 5.1-26
MTW32N25E ................ 5.4-15 MURP20040CTK ............. 5.6-12 MV2115 ..................... 5.1-26
MTW35N15E ................ 5.4-15 MURS120T3 ................. 5.6-10 MV409 ...................... 5.1-30
MTW45N10E ................ 5.4-15 MURS160T3 ................. 5.6-10 MV7005T1 .................. 5.1-31
MTW6N100E .............•.. 5.4-15 MURS320T3 ................. 5.6-10 MV7404T1 .................. 5.1-31
MTW7NBOE ................. 5.4-15 MURS360T3 ................. 5.6-10 MZD10RL ................... 5.2-1B

Motorola Master Selection Guide 7.1-23 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
MZD100 .................... 5.2-19 MZP4749A .................. 5.2-1B M6BHC05Gl EVM ............ 2.6-23
MZDllRL ................... 5.2-18 MZP4750A .................. 5.2-1B M68HC05Gl0EVM ........... 2.6-23
MZDll0 ..................... 5.2-19 MZP4751A .................. 5.2-18 M6BHC05G9EVM ............ 2.6-23
MZD12RL ................... 5.2-18 MZP4752A .................. 5.2-1B M68HC05H2EVS . . . . . . . . . . . .. 2.6-23
MZD120 .................... 5.2-19 MZP4753A .................. 5.2-18 M68HC0518EVS ............. 2.6-23
MZD13RL ................... 5.2-18 MZ4099 ..................... 5.2-16 M68HC05J3EVS ............. 2.6-23
MZD130 .................... 5.2-19 MZ4101 ..................... 5.2-16 M6BHC05L1EVM . . . . . . . . . . . .. 2.6-24
MZD15RL ................... 5.2-18 MZ4104 ..................... 5.2-16 M6BHC05L10EVM ........... 2.6-24
MZD16RL ................... 5.2-18 MZ4614 ..................... 5.2-16 M68HC05LllEVM ............ 2.6-24
MZD18RL ................... 5.2-18 MZ4615 ..................... 5.2-16 M68HC05L2EVS . . . . . • . . . . . .. 2.6-24
MZD180 .................... 5.2-19 MZ4616 ..................... 5.2-16 M6BHC05L4EVS ... . . . . . . . . .. 2.6-24
MZD20RL ................... 5.2-18 MZ4617 ..................... 5.2-16 M68HC05L5EVS . . . . . . . . . . . .. 2.6-24
MZD22RL ................... 5.2-18 MZ461B ..................... 5.2-16 M6BHC05L9EVM2 ........... 2.6-24
MZD24RL ................... 5.2-18 MZ4619 ..................... 5.2-16 M68HC05M4EVM ............ 2.6-24
MZD27RL ................... 5.2-18 MZ4620 .. .. .. .. .. .. .. .. .. ... 5.2-16 M68HC05PGMR-2 ........... 2.6-23
MZD3.9RL .................. 5.2-18 MZ4622 .................. '" 5.2-16 M68HC05P3EVS . . . . . . . . . . . .. 2.6-24
MZD30RL ................... 5.2-18 MZ4623 ..................... 5.2-16 M6BHC05P8EVS ...... 2.6-23, 2.6-24
MZD33RL ................... 5.2-18 MZ4624 ..................... 5.2-16 M68HC05P9EVS . . . . . . . . . . . .. 2.6-24
MZD36RL ................... 5.2-18 MZ4625 ..................... 5.2-16 M68HC05SCEVS ............ 2.6-24
MZD39RL ................... 5.2-18 MZ4626 ..................... 5.2-16 M68HC05T12EVM ........... 2.6-24
MZD4.3RL .................. 5.2-18 MZ4627 ..................... 5.2-16 M68HC05T2EVS . . . . . . . . . . . .. 2.6-24
MZD4.7RL .................. 5.2-18 MZ5520B ................... 5.2-16 M68HC05T4EVM ............ 2.6-24
MZD43RL ................... 5.2-18 MZ5521B ................... 5.2-16 M68HC05T7EVM ............ 2.6-24
MZD47RL ................... 5.2-1B MZ5523B ................... 5.2-16 M68HC05X16EVS ..... 2.6-23,2.6-24
MZD5.1RL .................. 5.2-18 MZ5524B ................... 5.2-16 M68HC05X4EVS . . . . . . . . . . . .. 2.6-24
MZD5.6RL .................. 5.2-18 MZ5525B ................... 5.2-16 M6BHC11EVB ............... 2.6-24
MZD51 ...................... 5.2-18 MZ5527B ................... 5.2-16 M68HCllEVBU .............. 2.6-24
MZD56 ...................... 5.2-18 MZ5529B ................... 5.2-16 M68HCllEVB2 .............. 2.6-24
MZD6.2RL .................. 5.2-18 M1MA141KT1 ............... 5.1-35 M68HCllEVM ............... 2.6-24
MZDS.8RL .................. 5.2-18 M1MA141WATl .............. 5.1-36 M68HC705F8PGMR . . . . . . . . .. 2.6-23
MZD62 ...................... 5.2-18 M1MA141WKTl ............. 5.1-36 M6BHC705G1PGMR ......... 2.6-23
MZD68 ...................... 5.2-18 M1MA142KTl ............... 5.1-35 M68HC705G9PGMR ......... 2.6-23
MZD7.5RL .................. 5.2-18 M1MA142WAT1 .............. 5.1-36 M68HC705J2PGMR . . . . . . . . .. 2.6-23
MZD75 ...................... 5.2-19 M1MA142WKTl ............. 5.1-36 M68HC705KIGANG .......... 2.6-23
MZD8.2RL .................. 5.2-18 M1MA151ATl ............... 5.1-35 M68HC705L2PGMR . . . . . . . . .. 2.6-24
MZD82 ...................... 5.2-19 M1MA151KTl ............... 5.1-35 M68HC705L4PGMR ... 2.6-23, 2.6-24
MZD9.1RL .................. 5.2-18 M1MA151WATl .............. 5.1-36 M6BHC705L5PGMR . . . . . . . . .. 2.6-24
MZD91 ...................... 5.2-19 M1MA151WKT1 ............. 5.1-36 M68HC705P9PGMR . . . . . . . . .. 2.6-24
MZPY10RL .................. 5.2-18 M1MA174T1 ................. 5.1-35 M6BHC705Tl0PGMR .•....... 2.6-24
MZPY100RL ................. 5.2-19 M143120B1EVBU ............. 2.7-8 M68HC705T12PGMR ......... 2.6-24
MZPYllRL .................. 5.2-18 M143120EVK ................. 2.7-8 M68HC705X16PGMR ......... 2.6-24
MZPY12RL .................. 5.2-18 M143150B1EVBU ............. 2.7-8 M68HC705X4PGMR . . . . . . . . .. 2.6-24
MZPY15RL .................. 5.2-18 M143150EVK ................. 2.7-B M6BHC711D3EVB ............ 2.6-24
MZPY18RL .................. 5.2-18 M143204EVK ................. 2.7-B M68MEVB16Z1 .............. 2.6-25
MZPY22RL .................. 5.2-18 M143206EVK ................. 2.7-8 M6BMEVB333 ............... 2.6-25
MZPY24RL .................. 5.2-18 Ml43207EVK ................. 2.7-8 M68TB05SR3B42 ............ 2.5-16
MZPY27RL .................. 5.2-18 M14320BEVK ................. 2.7-8 M6BTB05SR3P40 ............ 2.5-16
MZPY3.9RL ................. 5.2-18 M143213EVK5 ................ 2.7-B M68TC05SR3FB44 ........... 2.5-16
MZPY43RL .................. 5.2-18 M143213EVK6 ................ 2.7-8 M68701 EVM ................. 2.6-23
MZPY47RL .................. 5.2-18 M143214EVK5 ................ 2.7-8 NE556D ..................... 4.10-2
MZPY5.1RL ................. 5.2-18 M143214EVK6 ................ 2.7-8 NE556N ..................... 4.10-2
MZPY5.6RL ................. 5.2-18 M143215EVK5 ................ 2.7-8 PBF259 ...................... 5.1-7
MZPY6.8RL ................. 5.2-18 M143215EVKS ................ 2.7-8 PBF259S ..................... 5.1-7
MZPY7.5RL ................. 5.2-18 M143221EVK ................. 2.7-8 PBF493 ...................... 5.1-7
MZPYB.2RL ................. 5.2-18 M143222EVK ................. 2.7-8 PBF493S ..................... 5.1-7
MZPYB2RL .................. 5.2-19 M143223EVK ................. 2.7-8 PC6BF333 . .. . .. . .. . .. . .. .... 2.6-21
MZP4728A .................. 5.2-18 M143226EVK ................. 2.7-B PC68HCllGO ................ 2.6-7
MZP4729A .................. 5.2-18 M143232EVK ................. 2.7-8 PC68HCllG5 ................ 2.6-7
MZP4734A .................. 5.2-1B M6BCBL05B ................. 2.5-16 PC6BHC11G7 ................ 2.6-7
MZP4735A .................. 5.2-18 MSBCBL05C ................. 2.5-16 PC68HCllJ6 ................. 2.6-7
MZP4737A .................. 5.2-18 MSBHC05BPGMR ............ 2.6-23 PC6BHC711D3 ............... 2.6-8
MZP4738A .................. 5.2-1B M68HC05C5EVS . . . . . . . . . . . .. 2.6-23 PC6BHC711E20 .............. 2.6-8
MZP4740A .................. 5.2-18 M68HC05C9EVS . . . . . . . . . . . .. 2.6-23 PC6BHC711E9 ................ 2.6-8
MZP4741A .................. 5.2-1B M6BHC05D32EVS ........... 2.6-23 PC68HC711G5 ............... 2.6-8
MZP4744A .................. 5.2-1B M6BHC05El EVS ............. 2.6-23 PC68HC711J6 ................ 2.6-8
MZP4745A .................. 5.2-1B M6BHC05F6EVM ............ 2.6-23 PC68HC711 K4 ................ 2.6-8
MZP4746A .................. 5.2-18 M68HC05FBEVM ............ 2.6-23 PC68HC711L6 ................ 2.6-8

Device Index 7.1-24 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
PC6SHC711M2 ............... 2.6-8 P6KE6SCA '" . . . . . . . . . . . . . . .. 5.2-4 SAA1042AV .................. 4.3-9
PC6SHC711N4 ............... 2.6-8 P6KE7.5A .................... 5.2-4 SA11A ....................... 5.2-2
PZTA14T1 ..................• 5.1-16 P6KE7.5CA .................. 5.2-4 SA11CA ...................... 5.2-2
PZTA42T1 ................... 5.1-16 P6KE75A .................... 5.2-4 SA110A ...................... 5.2-3
PZTA64T1 ................... 5.1-16 P6KE75CA .......... . . . . . . . .. 5.2-4 SA110CA .................... 5.2-3
PZTA92T1 ..................• 5.1-16 P6KES.2A . . . . . . . . . . . . . . . . . . .. 5.2-4 SA12A ....................... 5.2-2
PZTA96T1 ................... 5.1-16 P6KES.2CA .................. 5.2-4 SA12CA ..................... 5.2-2
PZT2222AT1 ................ 5.1-16 P6KES2A .................... 5.2-4 SA13A ....................... 5.2-2
PZT2907AT1 ................ 5.1-16 P6KES2CA ................... 5.2-4 SA13CA ..................... 5.2-2
PZT651T1 ................... 5.1-16 P6KE9.1A .................... 5.2-4 SA130A ...................... 5.2-3
PZT751T1 ................... 5.1-16 P6KE9.1CA .................. 5.2-4 SA130CA .................... 5.2-3
P2N2222A ................... 5.1-7 P6KE91A .................... 5.2-4 SA14A ....................... 5.2-2
P2N2907A ..•..............•. 5.1-7 P6KE91 CA ..... . . . . . . . . . . . . .. 5.2-4 SA14CA ..................... 5.2-2
P6KE10A .................... 5.2-4 P6SMB10AT3 ................ 5.2-12 SA15A ....................... 5.2-2
P6KE10CA ................... 5.2-4 P6SMB100AT3 .............. 5.2-12 SA15CA ..................... 5.2-2
P6KE11A ..................... 5.2-4 P6SMB110AT3 ............... 5.2-12 SA16A ....................... 5.2-2
P6KE11CA ................... 5.2-4 P6SMB12AT3 ................ 5.2-12 SA16CA ..................... 5.2-2
P6KE12A .................... 5.2-4 P6SMB12CAT3 .............. 5.2-12 SA160A ...................... 5.2-3
P6KE12CA ................... 5.2-4 P6SMB120AT3 .............. 5.2-12 SA160CA .................... 5.2-3
P6KE120A ................... 5.2-4 P6SMB13AT3 ................ 5.2-12 SA17A ......... " .......... " 5.2-2
P6KE120CA .................. 5.2-4 P6SMB13CAT3 .............. 5.2-12 SA17CA ..................... 5.2-2
P6KE13A ...•................ 5.2-4 P6SMB15AT3 ................ 5.2-12 SA 170A .................... " 5.2-3
P6KE13CA ......... . . . . . . . . .. 5.2-4 P6SMB15CAT3 .............. 5.2-12 SA170CA .................... 5.2-3
P6KE130A ................... 5.2-5 P6SMB150AT3 .............. 5.2-12 SA20A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE15A .................... 5.2-4 P6SMB16AT3 ................ 5.2-12 SA20CA ..................... 5.2-3
P6KE15CA ... . . . . . . . . . . . . . . .. 5.2-4 P6SMB16CAT3 .............. 5.2-12 SA24A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE150A ................... 5.2-5 P6SMB160AT3 .............. 5.2-12 SA24CA ..................... 5.2-3
P6KE150CA ......•........... 5.2-5 P6SMB170AT3 .............. 5.2-12 SA26A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE16A .................... 5.2-4 P6SMB1SAT3 ............... , 5.2-12 SA26CA ..................... 5.2-3
P6KE16CA ................... 5.2-4 P6SMB1SCAT3 .............. 5.2-12 SA2SA . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE160A ................... 5.2-5 P6SMB1S0AT3 .............. 5.2-12 SA2SCA ..................... 5.2-3
P6KE16OCA .................. 5.2-5 P6SMB20AT3 ................ 5.2-12 SA30A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE1SA .................... 5.2-4 P6SMB20CAT3 .............. 5.2-12 SA30CA ..................... 5.2-3
P6KE1SCA ................... 5.2-4 P6SMB200AT3 .............. 5.2-12 SA36A ........•.............. 5.2-3
P6KE1S0A ................... 5.2-5 P6SMB22AT3 ................ 5.2-12 SA36CA ..................... 5.2-3
P6KE1S0CA .................. 5.2-5 P6SMB22CAT3 .............. 5.2-12 SAS.OA ...................... 5.2-2
P6KE20A .................... 5.2-4 P6SMB24AT3 ................ 5.2-12 SA5.0CA .. . . . . . . . . . . . . . . . . . .. 5.2-2
P6KE20CA ................... 5.2-4 P6SMB24CAT3 .............. 5.2-12 SA51A ....................... 5.2-3
P6KE200A ................... 5.2-5 P6SMB27AT3 ................ 5.2-12 SA51CA ..................... 5.2-3
P6KE200CA ................. , 5.2-5 P6SMB27CAT3 .............. 5.2-12 SA5SA ....................... 5.2-3
P6KE22A .................... 5.2-4 P6SMB30AT3 ................ 5.2-12 SA5SCA ..................... 5.2-3
P6KE22CA ............ . . . . . .. 5.2-4 P6SMB30CAT3 .............. 5.2-12 SA6.0A ...................... 5.2-2
P6KE24A .................... 5.2-4 P6SMB33AT3 ................ 5.2-12 SA6.0CA .. . . . . . . . . . . . . . . . . . .. 5.2-2
P6KE24CA ................... 5.2-4 P6SMB33CAT3 .............. 5.2-12 SA60A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE27A .................... 5.2-4 P6SMB36AT3 ................ 5.2-12 SA60CA ..................... 5.2-3
P6KE27CA ..... . . . . . . . . . . . . .. 5.2-4 P6SMB36CAT3 .............. 5.2-12 SA7.0A ...................... 5.2-2
P6KE30A .................... 5.2-4 P6SMB39AT3 ................ 5.2-12 SA7.0CA ..................... 5.2-2
P6KE30CA ................... 5.2-4 P6SMB39CAT3 .............. 5.2-12 SA75A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE33A .................... 5.2-4 P6SMB47AT3 ................ 5.2-12 SA75CA ..................... 5.2-3
P6KE33CA ..•................ 5.2-4 P6SMB47CAT3 .............. 5.2-12 SA7SA ....................... 5.2-3
P6KE36A .................... 5.2-4 P6SMB51AT3 ................ 5.2-12 SA7SCA ..................... 5.2-3
P6KE36CA ................... 5.2-4 P6SMB51CAT3 .............. 5.2-12 SAB.OA ...................... 5.2-2
P6KE39A .................... 5.2-4 P6SMB56AT3 ................ 5.2-12 SAS.OCA .. . . . . . . . . . . . . . . . . . .. 5.2-2
P6KE39CA .......... . . . . . . . .. 5.2-4 P6SMB56CAT3 .............. 5.2-12 SA90A . . . . . . . . . . . . . . . . . . . . . .. 5.2-3
P6KE43A .................... 5.2-4 P6SMB6.SAT3 ............... 5.2-12 SA90CA ..................... 5.2-3
P6KE43CA .......... . . . . . . . .. 5.2-4 P6SMB62AT3 ................ 5.2-12 SG3525A ................... 4.2-12
P6KE47A .................... 5.2-4 P6SMB62CAT3 .............. 5.2-12 SG3526 ..................... 4.2-12
P6KE47CA '" ................ 5.2-4 P6SMB6SAT3 ................ 5.2-12 SG3527A ................... 4.2-12
P6KE51A .................... 5.2-4 P6SMB6SCAT3 .............. 5.2-12 SL5501 ...................... 5.8-6
P6KE51CA ................... 5.2-4 P6SMB7.5AT3 ............... 5.2-12 SN54LSOO .................. 3.1-26
P6KE56A .................... 5.2-4 P6SMBS2AT3 ................ 5.2-12 SN54LS01 .................. 3.1-26
P6KE56CA ... . . . . . . . . . . . . . . .. 5.2-4 P6SMBS2CAT3 .............. 5.2-12 SN54LS02 .................. 3.1-2S
P6KE6.SA . . . . . . . . . . . . . . . . . . .. 5.2-4 P6SMB9.1AT3 ............... 5.2-12 SN54LS03 .................. 3.1-26
P6KE6.SCA .................. 5.2-4 P6SMB91AT3 ................ 5.2-12 SN54LS04 .................. 3.1-29
P6KE62A .................... 5.2-4 P6SMB91CAT3 .............. 5.2-12 SN54LS05 .................. 3.1-29
P6KE62CA ................... 5.2-4 RFAB090B ................. 5.10-29 SN54LSOS ................•. 3.1-26
P6KE68A .................... 5.2-4 SAA1042 ............. " ...... 4.3-9 SN54LS09 ........•....•.... 3.1-26

Motorola Master Selection Guide 7.1-25 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
SN54LS10 .................. 3.1-26 SN54LS257B ................ 3.1-33 SN54LS77 .................. 3.1-30
SN54LS107A ................ 3.1-23 SN54LS258B ................ 3.1-33 SN54LS795 ................. 3.1-15
SN54LS109A ................ 3.1-23 SN54LS259 ................. 3.1-30 SN54LS796 ................. 3.1-15
SN54LS11 ................... 3.1-26 SN54LS26 .................. 3.1-26 SN54LS797 ................. 3.1-15
SN54LS112A ................ 3.1-23 SN54LS260 ................. 3.1-28 SN54LS798 ................. 3.1-15
SN54LS113A ................ 3.1-23 SN54LS266 ................. 3.1-27 SN54LS83A ................. 3.1-14
SN54LS114A ................ 3.1-23 SN54LS27 .................. 3.1-28 SN54LS848 ................. 3.1-22
SN54LS12 .................. 3.1-26 SN54LS273 ................. 3.1-24 SN54LS85 .................. 3.1-18
SN54LS122 ................. 3.1-33 SN54LS279 ................. 3.1-31 SN54LS86 .................. 3.1-28
SN54LS123 ................. 3.1-33 SN54LS28 ............ . .... 3.1-28 SN54LS90 ....... . ...... 3.1-20
SN54LS125A ................ 3.1-16 SN54LS280 ................. 3.1-34 SN54LS92 .................. 3.1-20
SN54LS126A ................ 3.1-17 SN54LS283 ................. 3.1-14 SN54LS93 .................. 3.1-19
SN54LS13 .................. 3.1-36 SN54LS290 ................. 3.1-20 SN54LS95B ................. 3.1-37
SN54LS132 ................. 3.1-36 SN54LS293 ................. 3.1-19 SN74LSOO .................. 3.1-26
SN54LS133 ................. 3.1-25 SN54LS298 ................. 3.1-33 SN74LS01 .................. 3.1-26
SN54LS137 ................. 3.1-21 SN54LS299 ................. 3.1-37 SN74LS02 .................. 3.1-28
SN54LS138 ................. 3.1-21 SN54LS30 .................. 3.1-25 SN74LS03 .................. 3.1-26
SN54LS139 ................. 3.1-21 SN54LS32 .................. 3.1-29 SN74LS04 .................. 3.1-29
SN54LS14 .................. 3.1-36 SN54LS322A ................ 3.1-37 SN74LS05 .................. 3.1-29
SN54LS145 ................. 3.1-21 SN54LS323 ................. 3.1-37 SN74LS08 .................. 3.1-26
SN54LS147 ................. 3.1-22 SN54LS33 .................. 3.1-28 SN74LS09 .................. 3.1-26
SN54LS148 ................. 3.1-22 SN54LS348 ................. 3.1-22 SN74LS10 .................. 3.1-26
SN54LS15 .................. 3.1-26 SN54LS352 ................. 3.1-32 SN74LS107A ................ 3.1-23
SN54LS151 ................. 3.1-31 SN54LS353 ................. 3.1-32 SN74LS109A ................ 3.1-23
SN54LS153 ................. 3.1-32 SN54LS365A ................ 3.1-15 SN74LS11 ................... 3.1-26
SN54LS155 ................. 3.1-21 SN54LS366A ................ 3.1-15 SN74LS112A ................ 3.1-23
SN54LS156 ................. 3.1-21 SN54LS367A ................ 3.1-15 SN74LS113A ................ 3.1-23
SN54LS157 ................. 3.1-32 SN54LS368A ................ 3.1-15 SN74LS114A ................ 3.1-23
SN54LS158 ................. 3.1-32 SN54LS37 .................. 3.1-26 SN74LS12 .................. 3.1-26
SN54LS160A ................ 3.1-19 SN54LS373 ................. 3.1-31 SN74LS122 ................. 3.1-33
SN54LS161A ................ 3.1-19 SN54LS374 ................. 3.1-25 SN74LS123 ................. 3.1-33
SN54LS162A ................ 3.1-19 SN54LS375 ................. 3.1-30 SN74LS125A ................ 3.1-16
SN54LS163A ................ 3.1-19 SN54LS377 ................. 3.1-24 SN74LS126A ................ 3.1-17
SN54LS164 ................. 3.1-37 SN54LS378 ................. 3.1-24 SN74LS13 .................. 3.1-36
SN54LS165 ................. 3.1-37 SN54LS379 ................. 3.1-23 SN74LS132 ................. 3.1-36
SN54LS166 ................. 3.1-37 SN54LS38 .................. 3.1-26 SN74LS133 ................. 3.1-25
SN54LS168 ................. 3.1-19 SN54LS386 ................. 3.1-28 SN74LS136 ................. 3.1-28
SN54LS169 ................. 3.1-20 SN54LS390 ................. 3.1-20 SN74LS137 ................. 3.1-21
SN54LS170 ................. 3.1-36 SN54LS393 ................. 3.1-20 SN74LS138 ................. 3.1-21
SN54LS173A ................ 3.1-23 SN54LS398 ................. 3.1-33 SN74LS139 ................. 3.1-21
SN54LS174 ................. 3.1-24 SN54LS399 ................. 3.1-33 SN74LS14 .................. 3.1-36
SN54LS175 ................. 3.1-25 SN54LS40 .................. 3.1-25 SN74LS145 ................. 3.1-21
SN54LS181 ................. 3.1-14 SN54LS42 .................. 3.1-21 SN74LS147 ................. 3.1-22
SN54LS190 ................. 3.1-20 SN54LS47 .................. 3.1-22 SN74LS148 ................. 3.1-22
SN54LS191 ................. 3.1-20 SN54LS48 .................. 3.1-22 SN74LS15 .................. 3.1-26
SN54LS 192 ................. 3.1-20 SN54LS490 ................. 3.1-20 SN74LS151 ................. 3.1-31
SN54LS193 ................. 3.1-20 SN54LS51 .................. 3.1-27 SN74LS153 ................. 3.1-32
SN54LS194A ................ 3.1-37 SN54LS54 .................. 3.1-27 SN74LS155 ................. 3.1-21
SN54LS195A ................ 3.1-38 SN54LS540 ................. 3.1-16 SN74LS156 ................. 3.1-21
SN54LS196 ................. 3.1-19 SN54LS541 ................. 3.1-16 SN74LS157 ................. 3.1-32
SN54LS197 ................. 3.1-19 SN54LS55 .................. 3.1-27 SN74LS158 ................. 3.1-32
SN54LS20 .................. 3.1-25 SN54LS569A ................ 3.1-19 SN74LS160A ................ 3.1-19
SN54LS21 .................. 3.1-25 SN54LS623 ................. 3.1-16 SN74LS161A ................ 3.1-19
SN54LS22 ............... 3.1-25 SN54LS640 ................. 3.1-16 SN74LS162A ................ 3.1-19
SN54LS221 ................. 3.1-33 SN54LS641 ................. 3.1-38 SN74LS163A ................ 3.1-19
SN54LS240 ................. 3.1-15 SN54LS642 ................. 3.1-38 SN74LS164 ................. 3.1-37
SN54LS241 ................. 3.1-15 SN54LS645 ................. 3.1-16 SN74LS165 ................. 3.1-37
SN54LS242 ................. 3.1-17 SN54LS669 ................. 3.1-20 SN74LS166 ................. 3.1-37
SN54LS243 ................. 3.1-17 SN54LS670 ................. 3.1-36 SN74LS168 ................. 3.1-19
SN54LS244 ................. 3.1-15 SN54LS682 ................. 3.1-18 SN74LS169 ................. 3.1-20
SN54LS245 ................. 3.1-16 SN54LS684 ................. 3.1-18 SN74LS170 ................. 3.1-36
SN54LS247 ................. 3.1-22 SN54LS688 ................. 3.1-18 SN74LS173A ................ 3.1-23
SN54LS248 ................. 3.1-22 SN54LS73A ................. 3.1-24 SN74LS174 ................. 3.1-24
SN54LS249 ................. 3.1-22 SN54LS74A ................. 3.1-23 SN74LS175 ................. 3.1-25
SN54LS251 ................. 3.1-31 SN54LS748 ................. 3.1-22 SN74LS181 ................. 3.1-14
SN54LS253 ................. 3.1-32 SN54LS75 .................. 3.1-30 SN74LS190 ................. 3.1-20
SN54LS256 ................. 3.1-30 SN54LS76A ................. 3.1-23 SN74LS191 ................. 3.1-20

Device Index 7.1-26 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
SN74LS192 ................. 3.1-20 SN74LS490 ... 3.1-20 TIP31C ...................... 5.5-3
SN74LS193 ................. 3.1-20 SN74LS51 ............. 3.1-27 TIP32C ...................... 5.5-3
SN74LS194A ................ 3.1-37 SN74LS54 .................. 3.1-27 TIP33C ...................... 5.5-6
SN74LS195A ................ 3.1-38 SN74LS540 ................. 3.1-16 TIP34C ...................... 5.5-6
SN74LS196 ................. 3.1-19 SN74LS541 ................. 3.1-16 TIP35A ...................... 5.5-7
SN74LS197 ................. 3.1-19 SN74LS55 .................. 3.1-27 TIP35C ...................... 5.5-7
SN74LS20 ........... 3.1-25 SN74LS569A ............ 3.1-19 TIP36A ...................... 5.5-7
SN74LS21 .................. 3.1-25 SN74LS623 3.1-16 TIP36C ...................... 5.5-7
SN74LS22 .................. 3.1-25 SN74LS640 ................. 3.1-16 TIP41C ...................... 5.5-4
SN74LS221 ................. 3.1-33 SN74LS641 ............. 3.1-38 TIP42C ...................... 5.5-4
SN74LS240 ................. 3.1-15 SN74LS642 3.1-38 TIP47 ........................ 5.5-3
SN74LS241 .......... :": ..... 3.1-15 SN74LS645 ........... . .. 3.1-16 TIP48 ........................ 5.5-3
SN74LS242 ................. 3.1-17 SN74LS669 ................. 3.1-20 TIP49 ........................ 5.5-3
SN74LS243 ................. 3.1-17 SN74LS670 ................. 3.1-36 TIP50 ........................ 5.5-3
SN74LS244 ................. 3.1-15 SN74LS682 ................. 3.1-18 TL062AC.. .. ....... 4.1-3
SN74LS245 ................. 3.1-16 SN74LS684 ................. 3.1-18 TL062C ...................... 4.1-3
SN74LS247 ................. 3.1-22 SN74LS688 ................. 3.1-18 TL062V ...................... 4.1-3
SN74LS248 ................. 3.1-22 SN74LS73A ......... .. ... 3.1-24 TL064AC ..................... 4.1-4
SN74LS249 ....... 3.1-22 SN74LS74A .. 3.1-23 TL064C .. .. 4.1-4
SN74LS251 ........ 3.1-31 SN74LS748 ......... .. ... 3.1-22 TL064V ...................... 4.1-5
SN74LS253 ......... 3.1-32 SN74LS75 .......... .. .. 3.1-30 TL071AC ..................... 4.1-2
SN74LS257B ................ 3.1-33 SN74LS76A ................. 3.1-23 TL071C ...................... 4.1-2
SN74LS258B ................ 3.1-33 SN74LS77 .................. 3.1-30 TL072AC ..................... 4.1-3
SN74LS259 ................. 3.1-30 SN74LS795 ......... 3.1-15 TL072C ...................... 4.1-3
SN74LS26 .................. 3.1-26 SN74LS796 ................. 3.1-15 TL074AC ..................... 4.1-4
SN74LS260 ................. 3.1-28 SN74LS797 ................. 3.1-15 TL074C ...................... 4.1-4
SN74LS266 ................. 3.1-27 SN74LS798 ................. 3.1-15 TL081AC ..................... 4.1-2
SN74LS27 .................. 3.1-28 SN74LS83A ... .. ... 3.1-14 TL081C ...................... 4.1-2
SN74LS273 ................. 3.1-24 SN74LS848 ................. 3.1-22 TL082AC ..................... 4.1-3
SN74LS279 ................. 3.1-31 SN74LS85 .................. 3.1-18 TL082C ...................... 4.1-3
SN74LS28 .................. 3.1-28 SN74LS86 .................. 3.1-28 TL084AC ..................... 4.1-4
SN74LS280 ................. 3.1-34 SN74LS90 ................. 3.1-20 TL084C ...................... 4.1-4
SN74LS283 ................. 3.1-14 SN74LS92 .................. 3.1-20 TL431AC ..................... 4.4-2
SN74LS290 ................. 3.1-20 SN74LS93 .................. 3.1-19 TL431BC ..................... 4.4-2
SN74LS293 ................. 3.1-19 SN74LS95B ................. 3.1-37 TL431C ...................... 4.4-2
SN74LS298 ................. 3.1-33 SN75173 ..................... 4.6-7 TL431 I ....................... 4.4-2
SN74LS299 ................. 3.1-37 SN75175 ..................... 4.6-7 TL4311AI ..................... 4.4-2
SN74LS30 .................. 3.1-25 TCA0372 ..................... 4.1-4 TL4311BI ..................... 4.4-2
SN74LS32 .................. 3.1-29 TCA3388 .................... 4.7-34 TL494 ...................... 4.2-12
SN74LS322A ................ 3.1-37 TCA3388DP ................. 4.7-24 TL594 ...................... 4.2-12
SN74LS323 ................. 3.1-37 TCA3388FP ................. 4.7-24 TL780-XXC .................. 4.2-3
SN74LS33 .................. 3.1-28 TCA5600 .. .. .. .. .. .... 4.2-15 TPV596A ................... 5.10-12
SN74LS348 ................. 3.1-22 TCF5600 .. . . .. . . .. . .. . . .. . .. 4.2-15 TPV597 .................... 5.10-12
SN74LS352 ................. 3.1-32 TCF6000 ..................... 4.9-3 TPV598 .................... 5.10-12
SN74LS353 ................. 3.1-32 TDA1085C .................. 4.3-10 TPV6030 ................... 5.10-12
SN74LS365A ................ 3.1-15 TDA1085CD ................. 4.3-10 TPV695A ................... 5.10-12
SN74LS366A ................ 3.1-15 TDA1185A .. . ............. 4.3-11 TPV7025 ................... 5.10-12
SN74LS367A ................ 3.1-15 TIL 111 ....................... 5.8-6 TPV8100B ................. 5.10-12
SN74LS368A ................ 3.1-15 TIL113 ....................... 5.8-7 TP3007S .. .. . . .. . . . . . . . .. . .. 5.10-9
SN74LS37 .................. 3.1-26 TIL117 .......... .. ..... 5.8-6 TP3008 ..................... 5.10-9
SN74LS373 ................. 3.1-31 TIL 126 ....................... 5.8-6 TP3021 ..................... 5.10-9
SN74LS374 ................. 3.1-25 TIP102 ....................... 5.5-5 TP3034 ..................... 5.10-9
SN74LS375 ................. 3.1-30 TIP107 ....................... 5.5-5 TP3069 ..................... 5.10-9
SN74LS377 ................. 3.1-24 TIP112 ................. 5.5-3 TP5002S .................... 5.10-8
SN74LS378 ................. 3.1-24 TIP117 ... .. .... 5.5-3 TP5015 ..................... 5.10-8
SN74LS379 ................. 3.1-23 TIP122.. .. .... 5.5-4 TP5051 ..................... 5.10-8
SN74LS38 .................. 3.1-26 TIP127.. .. ..... 5.5-4 T2322B ...................... 5.7-7
SN74LS386 ................. 3.1-28 TIP140....... .. .. 5.5-6 T2322D ...................... 5.7-7
SN74LS390 ................. 3.1-20 TIP141 ........ .. ..... 5.5-6 T2322M ...................... 5.7-7
SN74LS393 ................. 3.1-20 TIP142 ....................... 5.5-6 T2323B ...................... 5.7-8
SN74LS395 ................. 3.1-37 TIP145 ........ .. .... 5.5-6 T2323D ...................... 5.7-8
SN74LS398 ................. 3.1-33 TIP146 ...................... 5.5-6 T2323M ...................... 5.7-8
SN74LS399 ................. 3.1-33 TIP147 ....................... 5.5-6 T2500B ...................... 5.7-8
SN74LS40 .................. 3.1-25 TIP29C ...................... 5.5-3 T2500BFP .................... 5.7-9
SN74LS42 .................. 3.1-21 TIP2955 ...................... 5.5-7 T2500D ...................... 5.7-8
SN74LS47 .................. 3.1-22 TIP30C ...................... 5.5-3 T2500DFP ................... 5.7-9
SN74LS48 .................. 3.1-22 TIP3055 ...................... 5.5-7 T2500M ...................... 5.7-8

Motorola Master Selection Guide 7.1-27 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
T2500MFP ................... 5.7-9 XC56302PV60 ................ 2.1-8 ZPD5.1 RL . . . . . . . . . . . . . . . . . .. 5.2-16
T2500N ...................... 5.7-8 XC56303PV66 ................ 2.1-8 ZPD6.2RL . . . . . . . . . . . . . . . . . .. 5.2-16
T2500NFP ................... 5.7-9 XC68HC05C4 ............... 2.6-23 IlA78S40 .................... 4.2-11
T2800B ..................... 5.7-10 XC68HC05D32 ........ 2.5-6, 2.6-23 1.5KE10A .................... 5.2-7
T2800D ..................... 5.7-10 XC68HC05F2 ................ 2.6-23 1.5KE10CA ................... 5.2-7
T2800M ..................... 5.7-10 XC68HC05F6 . . . . . . . . . . . . . . .. 2.6-23 1.5KE100A ................... 5.2-7
UAA1016B ................... 4.3-3 XC68HC05F8 . . . . . . . . . . . . . . .. 2.6-23 1.5KE100CA .................. 5.2-7
UAA1041 ..................... 4.9-8 XC68HC05G10 .............. 2.6-23 1.5KE11 A .................... 5.2-7
UAA1041B ............. 4.9-3,4.9-8 XC68HC05G9 ............... 2.6-23 1.5KE11CA ................... 5.2-7
UAA2016 .. .. .. . . .. .. . . .. . . ... 4.3-3 XC68HC05H2 ............... 2.6-23 1.5KE110A ................... 5.2-7
UAA2016D .................... 4.3-3 XC68HC0518 ................ 2.6-23 1.5KE110CA .................. 5.2-7
UAA2016P ................... 4.3-3 XC68HC05J3 . . . . . . . . . . . . . . .. 2.6-23 1.5KE12A .................... 5.2-7
UC2842A .................... 4.2-9 XC68HC05KO . . . . . . . . . . . . . . .. 2.6-23 1.5KE12CA ................... 5.2-7
UC2842B ................... 4.2-10 XC68HC05K1 ................ 2.6-23 1.5KE120A ................... 5.2-7
UC2843A .................... 4.2-9 XC68HC05K3 ................. 2.5-7 1.5KE120CA .................. 5.2-7
UC2843B ................... 4.2-10 XC68HC05L1 ................ 2.6-24 1.5KE13A .................... 5.2-7
UC2844 . . . . . . . . . . . . . . . . . . . . .. 4.2-9 XC68HC05L 11 ............... 2.6-24 1.5KE13CA ................... 5.2-7
UC2844B ................... 4.2-10 XC68HC05L2 . . . . . . . . . . . . . . .. 2.6-24 1.5KE130A ................... 5.2-7
UC2845 .. .. .. .. . . .. . . . . .. . . .. 4.2-9 XC68HC05L4 . .. .. . . .. .. . . . .. 2.6-24 1.5KE130CA .................. 5.2-7
UC2845B ................... 4.2-10 XC68HC05M4 ............... 2.6-24 1.5KE15A .................... 5.2~7
UC3842A .................... 4.2-9 XC68HC05P3 . . . . . . . . . . . . . . .. 2.6-24 1.5KE15CA ................... 5.2-7
UC3842B .................... 4.2-9 XC68HC05RC16 .............. 2.5-8 1.5KE150A ................... 5.2-8
UC3842BV ................... 4.2-9 XC68HC05SC11 ............. 2.6-24 1.5KE150CA .................. 5.2-8
UC3843A ...... ............. 4.2-9 XC68HC05SC21 ............. 2.6-24 1.5KE16A .................... 5.2-7
UC3843B ................... 4.2-10 XC68HC05SC24 .... . . . . . . . .. 2.6-24 1.5KE16CA ................... 5.2-7
UC3843BV .................. 4.2-10 XC68HC05SC27 ..... . . . . . . .. 2.6-24 1.5KE160A ................... 5.2-8
UC3844 .. .. .. .. . . .. . . . . .. . . .. 4.2-9 XC68HC05SC28 .. .. . .. .. . . ... 2.5-8 1.5KE160CA .................. 5.2-8
UC3844B ................... 4.2-10 XC68HC05T12 ............... 2.6-24 1.5KE170A ................... 5.2-8
UC3844BV .................. 4.2-10 XC68HC05T2 .......... 2.5-8,2.6-24 1.5KE170CA .................. 5.2-8
UC3845 .. .. .. .. . . .. .. . . .. . . .. 4.2-9 XC68HC05T3 ................ 2.6-24 1.5KE18A .................... 5.2-7
UC3845B ................... 4.2-10 XC68HC05T4 ................ 2.6-24 1.5KE18CA ................... 5.2-7
UC3845BV .................. 4.2-10 XC68HC05X16 .............. 2.6-24 1.5KE180A ................... 5.2-8
ULN2003A .. 4.6-8 XC68HC05X4. . . ....... 2.6-24 1.5KE180CA. .. .............. 5.2-8
ULN2004A ................... 4.6-8 XC68HC11CO ................. 2.6-6 1.5KE20A .................... 5.2-7
ULN2803 .. .. . .. .. .. .. . . .. . ... 4.6-8 XC68HC11E20 ................ 2.6-6 1.5KE20CA ................... 5.2-7
ULN2804 ..................... 4.6-8 XC68HC11N4 ................. 2.6-8 1.5KE200A .. .. .. .. .. .. .. .. ... 5.2-8
VN0300L .................... 5.1-21 XC68HC11P2 ................. 2.6-8 1.5KE200CA .. .. .. .. .. . .. .. ... 5.2-8
VN0610LL ................... 5.1-21 XC68HC705B32 ............. 2.5-10 1.5KE22A .................... 5.2-7
VN10LM .................... 5.1-21 XC68HC705C ............... 2.6-23 1.5KE22CA ................ '" 5.2-7
VN1706L .................... 5.1-21 XC68HC705C5 .............. 2.6-23 1.5KE220A .......... .. . . . . . .. 5.2-8
VN2222LL ................... 5.1-21 XC68HC705D9 .............. 2.5-10 1.5KE220CA .. .. . .. .. .. .. .. ... 5.2-8
VN2406L .................... 5.1-21 XC68HC705F6 ............... 2.5-10 1.5KE24A .................... 5.2-7
VN2410L .................... 5.1-21 XC68HC705F8 ............... 2.6-23 1.5KE24CA . . . . . . . . . . . . . . . . . .. 5.2-7
XCP56002PV80 ............... 2.1-6 XC68HC705G10 ............. 2.6-23 1.5KE250A ........ . . . . . . . . . .. 5.2-8
XC56L002PV40 ............... 2.1-8 XC68HC705G9 .............. 2.6-23 1.5KE250CA .................. 5.2-8
XC56L007FJ40 ............... 2.1-6 XC68HC70518 ............... 2.6-23 1.5KE27A .................... 5.2-7
XC56L811BU40 ............... 2.1-3 XC68HC705J3 . . . . . . . . . . . . . .. 2.6-23 1.5KE27CA ................... 5.2-7
XC56L812BU40 ............... 2.1-3 XC68HC705K1 .............. 2.6-23 1.5KE30A .................... 5.2-7
XC56001AFC27 ............... 2.1-6 XC68HC705L1 ........ 2.5-11,2.6-24 1.5KE30CA ................... 5.2-7
XC56001AFC33 ............... 2.1-6 XC68HC705L2 ............... 2.6-24 1.5KE33A ......... .. . . .. .. ... 5.2-7
XC56001AFE27 ............... 2.1-6 XC68HC705L4 ............... 2.6-24 1.5KE33CA .. . .. . .. .. . . . .. .... 5.2-7
XC56001AFE33 ............... 2.1-6 XC68HC705P9 .............. 2.6-24 1.5KE36A .................... 5.2-7
XC56001ARC27 .............. 2.1-6 XC68HC705T10 ............. 2.6-24 1.5KE36CA ................... 5.2-7
XC56001ARC33 .............. 2.1-6 XC68HC705T12 ............. 2.6-24 1.5KE39A .................... 5.2-7
XC56002PV40 ................ 2.1-6 XC68HC705V8 .............. 2.5-11 1.5KE39CA ................... 5.2-7
XC56002PV66 ................ 2.1-6 XC68HC705X4 ....... 2.5-11,2.6-24 1.5KE43A .................... 5.2-7
XC56004FJ50 ................ 2.1-6 XC68HC711P2 ................ 2.6-8 1.5KE43CA ................... 5.2-7
XC56004FJ66 ................ 2.1-6 XC68HC916X1 .............. 2.6-18 1.5KE47A .................... 5.2-7
XC56005PV50 ................ 2.1-6 XC68HC916Y1 .............. 2.6-18 1.5KE47CA ................... 5.2-7
XC56007FJ50 ................ 2.1-6 XC68334 .................... 2.6-21 1.5KE51A .................... 5.2-7
XC56007FJ66 ................ 2.1-6 XC96002RC33 ................ 2.1-9 1.5KE51CA ................... 5.2-7
XC56009PV80 ................ 2.1-6 XC96002RC40 ................ 2.1-9 1.5KE56A .................... 5.2-7
XC56156FE60 ................ 2.1-2 ZPD2.7RL . . . . . . . . . . . . . . . . . .. 5.2-16 1.5KE56CA ................... 5.2-7
XC56156FV40 ................ 2.1-2 ZPD27RL ................... 5.2-17 1.5KE6.8A .................... 5.2-7
XC56156FV50 ................ 2.1-2 ZPD3.6RL ................... 5.2-16 1.5KE6.8CA .................. 5.2-7
XC56166FV60 ................ 2.1-2 ZPD30RL ................... 5.2-17 1.5KE62A .................... 5.2-7
XC56301PW66 ............... 2.1-8 ZPD4.7RL ................... 5.2-16 1.5KE62CA ................... 5.2-7

Device Index 7.1-28 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
1.5KE68A .................... 5.2-7 1N4705 ..................... 5.2-16 1N5242B .................... 5.2-16
1.5KE68CA ................... 5.2-7 1N4707 ..................... 5.2-17 1N5243B .................... 5.2-16
1.5KE7.5A .................... 5.2-7 1N4708 ..................... 5.2-17 1N5244B .................... 5.2"-16
1.5KE7.5CA .................. 5.2-7 1N4728A .................... 5.2-18 1N5245B .................... 5.2-16
1.5KE75A .................... 5.2-7 1N4729A .................... 5.2-18 1N5246B .................... 5.2-16
1.5KE75CA ................... 5.2-7 1N4730A .................... 5.2-18 1N5247B .................... 5.2-16
1.5KE8.2A .................... 5.2-7 1N4731A .................... 5.2-18 1N5248B .................... 5.2-16
1.5KE8.2CA .................. 5.2-7 1N4732A .................... 5.2-18 1N5249B .................... 5.2-17
1.5KE82A .................... 5.2-7 1N4733A .................... 5.2-18 1N5250B .................... 5.2-17
1.5KE82CA ................... 5.2-7 1N4734A .................... 5.2-18 1N5251B .................... 5.2-17
1.5KE91A .................... 5.2-7 1N4735A .................... 5.2-18 1N5252B .................... 5.2-17
1.5KE91CA ................... 5.2-7 1N4736A .................... 5.2-18 1N5254B .................... 5.2-17
1.5SMC10AT3 ............... 5.2-14 1N4737A .................... 5.2-18 1N5255B .................... 5.2-17
1.5SMC11AT3 ............... 5.2-14 1N4738A .................... 5.2-18 1N5256B .................... 5.2-17
1.5SMC12AT3 ............... 5.2-14 1N4739A .................... 5.2-18 1N5257B .................... 5.2-17
1.5SMC13AT3 ............... 5.2-14 1N4740A .................... 5.2-18 1N5258B .................... 5.2-17
1.5SMC15AT3 ............... 5.2-14 1N4741 A .................... 5.2-18 1N5259B .................... 5.2-17
1.5SMC18AT3 ............... 5.2-14 1N4742A .................... 5.2-18 1N5260B .................... 5.2-17
1.5SMC22AT3 ............... 5.2-14 1N4743A .................... 5.2-18 1N5261B .................... 5.2-17
1.5SMC24AT3 ............... 5.2-14 1N4744A .................... 5.2-18 1N5262B .................... 5.2-17
1.5SMC27AT3 ............... 5.2-14 1N4745A .................... 5.2-18 1N5263B .................... 5.2-17
1.5SMC30AT3 ............... 5.2-14 1N4746A .................... 5.2-18 1N5264B .................... 5.2-17
1.5SMC33AT3 ............... 5.2-14 1N4747A .................... 5.2-18 1N5265B .................... 5.2-17
1.5SMC36AT3 ............... 5.2-14 1N4748A .................... 5.2-18 1N5266B .................... 5.2-17
1.5SMC39AT3 ............... 5.2-14 1N4749A .................... 5.2-18 1N5267B .................... 5.2-17
1.5SMC43AT3 ............... 5.2-14 1N4750A .................... 5.2-18 1N5270B .................... 5.2-17
1.5SMC47AT3 ............... 5.2-14 1N4751A .................... 5.2-18 lN5271B .................... 5.2-17
1.5SMC51AT3 ............... 5.2-14 1N4752A .................... 5.2-18 1N5272B .................... 5.2-17
1.5SMC56AT3 ............... 5.2-14 1N4753A .................... 5.2-18 1N5273B .................... 5.2-17
1.5SMC6.8AT3 ............... 5.2-14 1N4754A .................... 5.2-18 1N5274B .................... 5.2-17
1.5SMC62AT3 ............... 5.2-14 1N4755A .................... 5.2-18 1N5275B .................... 5.2-17
1.5SMC68AT3 ............... 5.2-14 1N4756A .................... 5.2-18 1N5276B .................... 5.2-17
1.5SMC75AT3 ............... 5.2-14 1N4757A .................... 5.2-18 1N5279B .................... 5.2-17
1.5SMC8.2AT3 . . . . . . . . . . . . . .. 5.2-14 1N4758A .................... 5.2-18 1N5281B .................... 5.2-17
1.5SMC82AT3 ............... 5.2-14 1N4759A .................... 5.2-18 1N5283 ..................... 5.2-31
1.5SMC9.1AT3 ............... 5.2-14 1N4760A .................... 5.2-18 1N5287 ..................... 5.2-31
1.5SMC91AT3 ................ 5.2-14 1N4761A .................... 5.2-19 1N5297 ..................... 5.2-31
1N4004 ..................... 5.6-13 1N4762A .................... 5.2-19 1N5298 ..................... 5.2-31
1N4007 ..................... 5.6-13 1N4763A .................... 5.2-19 1N5305 ..................... 5.2-31
1N4370A .................... 5.2-16 1N4764A .................... 5.2-19 1N5309 ..................... 5.2-31
1N4371A .................... 5.2-16 1N4935 ..................... 5.6-13 1N531 0 ..................... 5.2-31
1N4372A .................... 5.2-16 1N4937 ..................... 5.6-13 1N5311 ..................... 5.2-31
1N4678 ..................... 5.2-16 1N5139 ..................... 5.1-25 1N5312 ..................... 5.2-31
1N4679 ..................... 5.2-16 1~1~ ..................... ~1~5 1N5313 ..................... 5.2-31
1N4681 ..................... 5.2-16 1N5143 ..................... 5.1-25 1N5314 ..................... 5.2-31
1N4682 ..................... 5.2-16 1N5144 ..................... 5.1-25 1N5333B .................... 5.2-18
1N4683 ..................... 5.2-16 1N5145 ..................... 5.1-25 1N5334B .................... 5.2-18
1N4684 ..................... 5.2-16 1~1~ ..................... ~1-~ 1N5335B .................... 5.2-18
1N4685 ..................... 5.2-16 1N5221B .................... 5.2-16 1N5336B .................... 5.2-18
1N4686 ..................... 5.2-16 1N5222B .................... 5.2-16 1N5337B .................... 5.2-18
1N4687 ..................... 5.2-16 1N5223B .................... 5.2-16 1N5338B .................... 5.2-18
1N4688 ..................... 5.2-16 1N5225B .................... 5.2-16 1N5339B .................... 5.2-18
1N4689 ..................... 5.2-16 1N5226B .................... 5.2-16 1N5340B .................... 5.2-18
1N4690 ..................... 5.2-16 1N5227B .................... 5.2-16 1N5341B .................... 5.2-18
1N4691 ..................... 5.2-16 1N5228B .................... 5.2-16 1N5342B .................... 5.2-18
1N4692 ..................... 5.2-16 1N5229B .................... 5.2-16 1N5343B .................... 5.2-18
1N4693 ..................... 5.2-16 1N5230B .................... 5.2-16 1N5344B .................... 5.2-18
1N4694 .. , .................. 5.2-16 1N5231B .................... 5.2-16 1N5346B .................... 5.2-18
1N4695 ..................... 5.2-16 1N5232B .................... 5.2-16 1N5347B .................... 5.2-18
1N4696 ..................... 5.2-16 1N5233B .................... 5.2-16 1N5348B .................... 5.2-18
1N4697 ..................... 5.2-16 1N5234B .................... 5.2-16 1N5349B . . . . . . . . . . . . . . . . . ... 5.2-18
1N4698 ..................... 5.2-16 1N5235B .................... 5.2-16 1N5350B .. .. .. .. .. .. .. .. .... 5.2-18
1N4699 ..................... 5.2-16 1N5236B .................... 5.2-16 1N5351B .................... 5.2-18
1N4700 ..................... 5.2-16 1N5237B .................... 5.2-16 1N5352B .................... 5.2-18
1N4702 ..................... 5.2-16 1N5239B .................... 5.2-16 1N5353B .................... 5.2-18
1N4703 ........... " ........ 5.2-16 1N5240B .................... 5.2-16 1N5354B .................... 5.2-18
1N4704 ..................... 5.2-16 1N5241B .................... 5.2-16 1N5355B .................... 5.2-18

Motorola Master Selection Guide 7.1-29 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
1N5356B .................... 5.2-18 lN5953B .................... 5.2-19 1 N6380 ...................... 5.2-6
1N5357B .................... 5.2-18 lN5954B .................... 5.2-19 1 N6381 ...................... 5.2-6
lN5358B .................... 5.2-18 1 N5955B . . . . . . . . . . . . . . . . . . .. 5.2-19 1 N6382 ...................... 5.2-6
lN5359B .................... 5.2-18 lN5956B .................... 5.2-19 1 N6383 ...................... 5.2-6
lN5360B .................... 5.2-18 1N5985B . . . . . . . . . . . . . . . . . . .. 5.2-16 1 N6384 ...................... 5.2-6
lN5361B ........•........... 5.2-18 lN5987B .................... 5.2-16 1N6385 ...................... 5.2-6
lN5362B .................... 5.2-18 1 N5988B . . . . . . . . . . . . . . . . . . .. 5.2-16 1 N6386 ...................... 5.2-6
lN5363B .................... 5.2-18 lN5989B .................... 5.2-16 1N6388 ...................... 5.2-6
lN5364B .................... 5.2-18 lN5990B .................... 5.2-16 1N6389 ...................... 5.2-6
1N5365B .................... 5.2-18 lN5991B .................... 5.2-16 lN746A ..................... 5.2-16
lN5366B .................... 5.2-18 lN5992B .................... 5.2-16 lN747A ..................... 5.2-16
lN5367B .................... 5.2-18 lN5993B .................... 5.2-16 lN748A ..................... 5.2-16
lN5368B .................... 5.2-18 lN5994B .................... 5.2-16 lN749A ..................... 5.2-16
lN5369B .................... 5.2-18 lN5995B .................... 5.2-16 1N750A . . . . . . . . . . . . . . . . . . . .. 5.2-16
lN5370B .................... 5.2-18 lN5996B .................... 5.2-16 lN751A ..................... 5.2-16
lN5371B .................... 5.2-18 lN5997B .................... 5.2-16 lN752A ..................... 5.2-16
lN5372B .................... 5.2-18 lN5998B .................... 5.2-16 lN753A ..................... 5.2-16
lN5373B .................... 5.2-18 lN5999B .................... 5.2-16 1N754A . . . . . . . . . . . . . . . . . . . .. 5.2-16
lN5374B .................... 5.2-19 lN6000B .................... 5.2-16 lN755A ..................... 5.2-16
1N5375B .................... 5.2-19 lN6002B .................... 5.2-16 lN756A ..................... 5.2-16
lN5377B .................... 5.2-19 lN6003B .................... 5.2-16 lN757A ..................... 5.2-16
1N5378B . . . . . . . . . . . . . . . . . . .. 5.2-19 lN6004B .................... 5.2-16 1N758A . . . . . . . . . . . . . . . . . . . .. 5.2-16
lN5380B .................... 5.2-19 lN6007B .................... 5.2-17 lN759A ..................... 5.2-16
lN5381B .................... 5.2-19 lN6023B .................... 5.2-17 1N821 ...................... 5.2-31
lN5383B .................... 5.2-19 lN6267A ..................... 5.2-7 lN821A ..................... 5.2-31
lN5384B .................... 5.2-19 1N6268A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N823 ...................... 5.2-31
lN5386B .................... 5.2-19 lN6269A ..................... 5.2-7 1 N823A . . . . . . . . . . . . . . . . . . . .. 5.2-31
lN5388B .................... 5.2-19 lN6271A ..................... 5.2-7 1N825 ...................... 5.2-31
lN5404 ..................... 5.6-13 lN6272A ..................... 5.2-7 1 N825A ..................... 5.2-31
lN5406 ..................... 5.6-13 1N6273A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1 N827 ...................... 5.2-31
lN5441A .................... 5.1-25 lN6274A ..................... 5.2-7 1 N827A ..................... 5.2-31
1 N5444A . . . . . . . . . . . . . . . . . . .. 5.1-25 lN6275A ..................... 5.2-7 1 N829 ...................... 5.2-31
lN5446A .................... 5.1-25 lN6276A ..................... 5.2-7 1 N829A ..................... 5.2-31
lN5448A .................... 5.1-25 lN6277A ..................... 5.2-7 lN957B ..................... 5.2-16
lN5449A .................... 5.1-25 lN6278A ..................... 5.2-7 1 N959B . . . . . . . . . . . . . . . . . . . .. 5.2-16
1 N5450A . . . . . . . . . . . . . . . . . . .. 5.1-25 1N6279A . . . . . . . . . . . . . . . . . . . .. 5.2-7 lN961B ..................... 5.2-16
lN5451A .................... 5.1-25 1 N6280A . . . . . . . . . . . . . . . . . . . .. 5.2-7 lN962B ..................... 5.2-16
1 N5452A . . . . . . . . . . . . . . . . . . .. 5.1-25 lN6281A ..................... 5.2-7 1N963B ..................... 5.2-16
1 N5453A . . . . . . . . . . . . . . . . . . .. 5.1-25 1 N6282A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N964B ... . . . . . . . . . . . . . . . . .. 5.2-16
1 N5455A . . . . . . . . . . . . . . . . . . .. 5.1-25 1 N6283A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N965B . . . . . . . . . . . . . . . . . . . .. 5.2-16
lN5456A .................... 5.1-25 1 N6284A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N966B . . . . . . . . . . . . . . . . . . . .. 5.2-16
1 N5817 ...................... 5.6-6 1 N6285A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N967B .... . . . . . . . . . . . . . . . .. 5.2-16
1 N5818 ...................... 5.6-6 lN6286A ..................... 5.2-7 1N968B . . . . . . . . . . . . . . . . . . . .. 5.2-17
lN5819 ...................... 5.6-6 lN6287A ..................... 5.2-7 lN969B ..................... 5.2-17
1 N5820 ...................... 5.6-6 lN6288A ..................... 5.2-7 1 N970B . . . . . . . . . . . . . . . . . . . .. 5.2-17
1N5821 ...................... 5.6-6 lN6289A ..................... 5.2-7 lN971B ..................... 5.2-17
1N5822 ...................... 5.6-6 lN6290A ..................... 5.2-7 1 N972B . . . . . . . . . . . . . . . . . . . .. 5.2-17
1N5908 ...................... 5.2-6 lN6291A ..................... 5.2-7 lN973B ..................... 5.2-17
lN5913B .................... 5.2-18 lN6292A ..................... 5.2-7 lN974B ..................... 5.2-17
lN5917B .................... 5.2-18 lN6293A ..................... 5.2-7 lN975B ..................... 5.2-17
lN5920B .................... 5.2-18 lN6294A ..................... 5.2-7 1 N976B . . . . . . . . . . . . . . . . . . . .. 5.2-17
lN5921B .................... 5.2-18 1N6295A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N977B . . . . . . . . . . . . . . . . . . . .. 5.2-17
lN5922B .................... 5.2-18 1N6296A . . . . . . . . . . . . . . . . . . . .. 5.2-7 1N978B . . . . . . . . . . . . . . . . . . . .. 5.2-17
lN5924B .................... 5.2-18 lN6297A ..................... 5.2-7 lN980B ..................... 5.2-17
lN5925B .................... 5.2-18 1N6298A . . . . . . . . . . . . . . . . . . . .. 5.2-7 lN981B ..................... 5.2-17
lN5927B .................... 5.2-18 1 N6299A . . . . . . . . . . . . . . . . . . . .. 5.2-8 lN982B ..................... 5.2-17
1 N5929 B ................... 5.2-18 1N6300A . . . . . . . . . . . . . . . . . . . .. 5.2-8 1 N985B . . . . . . . . . . . . . . . . . . . .. 5.2-17
1N5930B . . . . . . . . . . . . . . . . . . .. 5.2-18 lN6301A ..................... 5.2-8 1N986B . . . . . . . . . . . . . . . . . . . .. 5.2-17
lN5931B .................... 5.2-18 1 N6302A . . . . . . . . . . . . . . . . . . . .. 5.2-8 1N987B ., . . . . . . . . . . . . . . . . . .. 5.2-17
1 N5933B . . . . . . . . . . . . . . . . . . .. 5.2-18 1 N6303A . . . . . . . . . . . . . . . . . . . .. 5.2-8 lN988B ..................... 5.2-17
1 N5935B . . . . . . . . . . . . . . . . . . .. 5.2-18 1N6373 ...................... 5.2-6 lN989B ..................... 5.2-17
lN5938B .................... 5.2-18 lN6374 ...................... 5.2-6 lN991B ..................... 5.2-17
1 N5939B .................... 5.2-18 1N6375 ...................... 5.2-6 lN992B ..................... 5.2-17
lN5941B .................... 5.2-18 1N6376 ...................... 5.2-6 ISMA5913BT3 ............... 5.2-21
lN5943B .................... 5.2-18 1N6377 ...................... 5.2-6 1SMA5914BT3 . . . . . . . . . . . . . .. 5.2-21
lN5946B .................... 5.2-19 1N6378 ...................... 5.2-6 ISMA5915BT3 ............... 5.2-21
lN5951B .................... 5.2-19 1N6379 ...................... 5.2-6 ISMA5916BT3 ............... 5.2-21

Device Index 7.1-30 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
ISMA5917BT3 ............... 5.2-21 ISMB40CAT3 ............... 5.2-11 ISMB85AT3 ................. 5.2-11
ISMA5918BT3 ............... 5.2-21 ISMB43AT3 ................. 5.2-11 ISMB9.0AT3 ................ 5.2-11
ISMA5919BT3 ............... 5.2-21 ISMB43CAT3 ............... 5.2-11 ISMB90AT3 ................. 5.2-11
1SMA5920BT3 . . . . . . . . . . . . . .. 5.2-21 ISMB45AT3 ................. 5.2-11 ISMC10AT3 ................. 5.2-13
ISMA5921BT3 ............... 5.2-21 ISMB45CAT3 ............... 5.2-11 ISMCllAT3 ................. 5.2-13
1SMA5922BT3 . . . . . . . . . . . . . .. 5.2-21 ISMB48AT3 ................. 5.2-11 ISMC12AT3 ................. 5.2-13
ISMA5923BT3 ............... 5.2-21 ISMB48CAT3 ............... 5.2-11 ISMC13AT3 ................. 5.2-13
1SMA5924BT3 . . . . . . . . . . . . . .. 5.2-21 ISMB5.0AT3 ................ 5.2-10 ISMC14AT3 ................. 5.2-13
ISMA5925BT3 ............... 5.2-21 ISMB51AT3 ................. 5.2-11 ISMC15AT3 ................. 5.2-13
ISMA5926BT3 ............... 5.2-21 ISMB51CAT3 ............... 5.2-11 ISMC16AT3 ................. 5.2-13
ISMA5927BT3 ............... 5.2-21 ISMB54AT3 ................. 5.2-11 ISMC17AT3 ................. 5.2-13
ISMA5928BT3 ............... 5.2-21 ISMB54CAT3 ............... 5.2-11 ISMC18AT3 ................. 5.2-13
1SMA5929BT3 . . . . . . . . . . . . . .. 5.2-21 ISMB58AT3 ................. 5.2-11 ISMC20AT3 ................. 5.2-13
ISMA5930BT3 ............... 5.2-21 ISMB58CAT3 ............... 5.2-11 ISMC22AT3 ................. 5.2-13
1SMA5931 BT3 . . . . . . . . . . . . . .. 5.2-21 1SII.1B5913BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC24AT3 ................. 5.2-13
1SMA5932BT3 . . . . . . . . . . . . . .. 5.2-21 15MB5915BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC26AT3 ................. 5.2-13
1SMA5933BT3 . . . . . . . . . . . . . .. 5.2-21 15MB5916BT3 .............. , 5.2-21 ISMC28AT3 ................. 5.2-13
ISMA5934BT3 ............... 5.2-21 15MB5917BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC30AT3 ................. 5.2-13
1SMA5935BT3 . . . . . . . . . . . . . .. 5.2-21 15MB5918BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC33AT3 ................. 5.2-13
ISMA5936BT3 ............... 5.2-22 15MB5919BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC36AT3 ................. 5.2-13
1SMA5937BT3 ............... 5.2-22 15MB5920BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC40AT3 ................. 5.2-13
1SMA5938BT3 ............... 5.2-22 15MB5921 BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC43AT3 ................. 5.2-13
1SMA5939BT3 ............... 5.2-22 15MB5922BT3 ............. " 5.2-21 ISMC45AT3 ................. 5.2-13
1SMA5940BT3 ............... 5.2-22 15MB5923BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC48AT3 ................. 5.2-13
1SMA5941 BT3 ............... 5.2-22 15MB5924BT3 ............... 5.2-21 ISMC5.0AT3 ................ 5.2-13
1SMA5942BT3 ............... 5.2-22 1 5MB5925BT3 ............... 5.2-21 ISMC51AT3 ................. 5.2-13
1SMA5943BT3 ............... 5.2-22 ISMB5926BT3 ............... 5.2-21 ISMC54AT3 ................. 5.2-13
1SMA5944BT3 . . . . . . . . . . . . . .. 5.2-22 1 5MB5927BT3 ............... 5.2-21 ISMC58AT3 ................. 5.2-13
ISMA5945BT3 ............... 5.2-22 ISMB5928BT3 ............... 5.2-21 ISMC6.0AT3 ................ 5.2-13
ISMB10AT3 ................. 5.2-11 15MB5929BT3 ............... 5.2-21 ISMC6.5AT3 ................ 5.2-13
ISMB10CAT3 ............... 5.2-11 ISMB5930BT3 ............... 5.2-21 ISMC60AT3 ................. 5.2-13
ISMB100AT3 ................ 5.2-11 15MB5931 BT3 ............... 5.2-21 ISMC64AT3 ................. 5.2-13
ISMBllAT3 ................. 5.2-11 ISMB5932BT3 ............... 5.2-21 ISMC7.0AT3 ................ 5.2-13
ISMBllCAT3 ................ 5.2-11 ISMB5934BT3 ............... 5.2-21 ISMC7.5AT3 ................ 5.2-13
ISMBll0AT3 ................ 5.2-11 15MB5935BT3 . . . . . . . . . . . . . .. 5.2-21 ISMC70AT3 ................. 5.2-13
ISMBI2AT3 ................. 5.2-11 ISMB5936BT3 ............... 5.2-22 ISMC75AT3 ................. 5.2-13
ISMB12CAT3 ............... 5.2-11 15MB5937BT3 . . . . . . . . . . . . . .. 5.2-22 ISMC78AT3 ................. 5.2-13
ISMB120AT3 ................ 5.2-11 ISMB5938BT3 ............... 5.2-22 ISMC8.0AT3 ................ 5.2-13
ISMB13AT3 ................. 5.2-11 15MB5939BT3 . . . . . . . . . . . . . .. 5.2-22 ISMC8.5AT3 ................ 5.2-13
ISMB13CAT3 ............... 5.2-11 15MB5940BT3 . . . . . . . . . . . . . .. 5.2-22 ISMC9.0AT3 ...... : ......... 5.2-13
ISMB130AT3 ................ 5.2-11 15MB5941 BT3 . . . . . . . . . . . . . .. 5.2-22 2N1893 ..................... 5.1-17
ISMB14AT3 ................. 5.2-11 15MB5942BT3 . . . . . . . . . . . . . .. 5.2-22 2N2219A .................... 5.1-17
ISMB14CAT3 ............... 5.2-11 15MB5943BT3 . . . . . . . . . . . . . .. 5.2-22 2N2222A .................... 5.1-17
ISMB15AT3 ................. 5.2-11 15MB5944BT3 . . . . . . . . . . . . . .. 5.2-22 2N2369A .................... 5.1-18
ISMB15CAT3 ............... 5.2-11 15MB5945BT3 . . . . . . . . . . . . . .. q.2-22 2N2484 ..................... 5.1-18
ISMB150AT3 ................ 5.2-11 15MB5946BT3 . . . . . . . . . . . . . .. 5.2-22 2N2904A .................... 5.1-17
ISMB16AT3 ................. 5.2-11 ISMB5947BT3 ............... 5.2-22 2N2905A .................... 5.1-17
ISMB16CAT3 ............... 5.2-11 1 5MB5949BT3 . . . . . . . . . . . . . .. 5.2-22 2N2906A .................... 5.1-17
ISMB160AT3 ................ 5.2-11 15MB5950BT3 . . . . . . . . . . . . . .. 5.2-22 2N2907A .................... 5.1-17
ISMB170AT3 ................ 5.2-11 ISMB5951BT3 ............... 5.2-22 2N3019 ..................... 5.1-17
ISMB18AT3 ................. 5.2-11 ISMB5952BT3 ............... 5.2-22 2N3020 ..................... 5.1-17
ISMB18CAT3 ............... 5.2-11 1 5MB5953BT3 . . . . . . . . . . . . . .. 5.2-22 2N3055 ..................... 5.5-12
ISMB20AT3 ................. 5.2-11 ISMB5954BT3 ................ 5.2-22 2N3055A ............. 5.5-12,5.5-15
ISMB20CAT3 ............... 5.2-11 ISMB6.0AT3 ................ 5.2-10 2N3251A .................... 5.1-17
ISMB22AT3 ................. 5.2-11 ISMB6.5AT3 ................ 5.2-10 2N3442 ..................... 5.5-12
ISMB22CAT3 ............... 5.2-11 ISMB60AT3 ................. 5.2-11 2N3467 ..................... 5.1-18
ISMB24AT3 ................. 5.2-11 ISMB60CAT3 ............... 5.2-11 2N3637 ..................... 5.1-18
ISMB24CAT3 ............... 5.2-11 ISMB64AT3 ................. 5.2-11 2N3700 ..................... 5.1-17
ISMB26AT3 ................. 5.2-11 ISMB64CAT3 ............... 5.2-11 2N3715 ..................... 5.5-12
ISMB26CAT3 ............... 5.2-11 ISMB7.0AT3 ................ 5.2-10 2N3716 ..................... 5.5-12
ISMB28AT3 ................. 5.2-11 ISMB7.5AT3 ................ 5.2-10 2N3771 ..................... 5.5-13
ISMB28CAT3 ............... 5.2-11 ISMB70AT3 ................. 5.2-11 2N3772 ..................... 5.5-13
ISMB30AT3 ................. 5.2-11 ISMB70CAT3 ............... 5.2-11 2N3773 ..................... 5.5-13
ISMB30CAT3 ............... 5.2-11 ISMB75AT3 ................. 5.2-11 2N3791 ..................... 5.5-12
ISMB36AT3 ................. 5.2-11 ISMB78AT3 ................. 5.2-11 2N3792 ..................... 5.5-12
ISMB36CAT3 ............... 5.2-11 ISMB8.0AT3 ................ 5.2-10 2N3799 ..................... 5.1-18
ISMB40AT3 ................. 5.2-11 ISMB8.5AT3 ................ 5.2-11 2N3903 ...................... 5.1-2

Motorola Master Selection Guide 7.1-31 Device Index


Device Index
Device Index Page Device Index Page Device Index Page
2N3904 ...................... 5.1-2 2N5885 ..................... 5.5-13 2N6349A .................... 5.7-12
2N3905 .. : ................... 5.1-2 2N5886 ..................... 5.5-13 2N6379 ..................... 5.5-14
2N3906 ...................... 5.1-2 2N6027 ..................... 5.7-14 2N6387 ...................... 5.5-5
2N3964 ..................... 5.1-18 2N6028 ..................... 5.7-14 2N6388 ...................... 5.5-5
2N4032 ..................... 5.1-17 2N6031 ..................... 5.5-13 2N6426 ...................... 5.1-4
2N4033 ..................... 5.1-17 2N6035 ...................... 5.5-9 2N6427 ...................... 5.1-4
2N4036 ..................... 5.1-17 2N6036 ..................... 5.5-10 2N6431 ..................... 5.1-18
2N4123 ...................... 5.1-2 2N6038 ...................... 5.5-9 2N6436 ..................... 5.5-13
2N4124 ...................... 5.1-2 2N6039 ..................... 5.5-10 2N6437 ..................... 5.5-13
2N4125 ...................... 5.1-2 2N6040 ...................... 5.5-5 2N6438 ..................... 5.5-13
2N4126 ...................... 5.1-2 2N6041 ...................... 5.5-5 2N6439 ..................... 5.10-7
2N4264 ...................... 5.1-6 2N6042 ...................... 5.5-5 2N6488 ...................... 5.5-6
2N4265 ...................... 5.1-6 2N6043 ...................... 5.5-5 2N6491 ...................... 5.5-6
2N4398 ..................... 5.5-13 2N6044 ...................... 5.5-5 2N6497 ...................... 5.5-4
2N4399 ..................... 5.5-13 2N6045 ...................... 5.5-5 2N6498 ...................... 5.5-4
2N4400 ...................... 5.1-2 2N6050 ..................... 5.5-12 2N6504 ...................... 5.7-5
2N4401 ...................... 5.1-2 2N6051 ..................... 5.5-12 2N6505 ...................... 5.7-5
2N4402 ...................... 5.1-2 2N6052 ..................... 5.5-12 2N6506 ...................... 5.7-5
2N4403 ...................... 5.1-2 2N6055 ..................... 5.5-11 2N6507 ...................... 5.7-5
2N4410 ...................... 5.1-2 2N6056 ..................... 5.5-11 2N6508 ...................... 5.7-5
2N4918 ...................... 5.5-9 2N6057 ..................... 5.5-12 2N6509 ...................... 5.7-5
2N4919 ...................... 5.5-9 2N6058 ..................... 5.5-12 2N6517 ...................... 5.1-5
2N4920 ...................... 5.5-9 2N6059 ..................... 5.5-12 2N6519 ...................... 5.1-5
2N4921 ...................... 5.5-9 2N6071 ...................... 5.7-8 2N6520 ...................... 5.1-5
2N4922 ...................... 5.5-9 2N6071A ..................... 5.7-8 2N6547 ..................... 5.5-12
2N4923 ...................... 5.5-9 2N6071 B ..................... 5.7-8 2N6576 ..................... 5.5-12
2N5038 ..................... 5.5-13 2N6073 ...................... 5.7-8 2N6577 ..................... 5.5-12
2N5039 ..................... 5.5-13 2N6073A ..................... 5.7-8 2N6578 ..................... 5.5-12
2N5086 ...................... 5.1-3 2N6073B ..................... 5.7-8 2N6609 ...............•..... 5.5-13
2N5087 ...................... 5.1-3 2N6075 ...................... 5.7-8 2N6667 ...................... 5.5-5
2N5088 ...................... 5.1-3 2N6075A ..................... 5.7-8 2N6668 ...................... 5.5-5
2N5089 ...................... 5.1-3 2N6075B ..................... 5.7-8 2N7000 ..................... 5.1-21
2N5191 ...................... 5.5-9 2N6107 ...................... 5.5-4 2N7002LT1 ................... 5.1-23
2N5192 ...................... 5.5-9 2N6109 ...................... 5.5-4 2N7008 ..................... 5.1-21
2N5194 ...................... 5.5-9 2N6111 ...................... 5.5-4 2N930 ...................... 5.1-18
2N5195 ...................... 5.5-9 2N6237 ...................... 5.7-3 2N930A ..................... 5.1-18
2N5301 ..................... 5.5-13 2N6238 ...................... 5.7-3 3EZ10D5 .................... 5.2-18
2N5302 ..................... 5.5-13 2N6239 ...................... 5.7-3 3EZ11D5 .................... 5.2-18
2N5401 ...................... 5.1-5 2N6240 ...................... 5.7-3 3EZ110D5 ................... 5.2-19
2N5457 ..................... 5.1-19 2N6241 ...................... 5.7-3 3EZ120D5 ................... 5.2-19
2N5458 ..................... 5.1-19 2N6274 ..................... 5.5-14 3EZ13D5 .................... 5.2-18
2N5459 ..................... 5.1-19 2N6275 ..................... 5.5-14 3EZ130D5 ................... 5.2-19
2N5460 ..................... 5.1-19 2N6277 ..................... 5.5-14 3EZ14D5 .................... 5.2-18
2N5461 ..................... 5.1-19 2N6282 ..................... 5.5-13 3EZ140D5 ................... 5.2-19
2N5462 ..................... 5.1-19 2N6283 ..................... 5.5-13 3EZ160D5 ................... 5.2-19
2N5484 ..................... 5.1-19 2N6284 ..................... 5.5-13 3EZ19D5 .................... 5.2-18
2N5485 ..................... 5.1-19 2N6285 ..................... 5.5-13 3EZ190D5 ................... 5.2-19
2N5486 ..................... 5.1-19 2N6286 ..................... 5.5-13 3EZ200D5 ................... 5.2-19
2N5551 ...................... 5.1-5 2N6287 ..................... 5.5-13 3EZ22D5 .................... 5.2-18
2N5555 ..................... 5.1-20 2N6288 ...................... 5.5-4 3EZ220D5 . . . . . . . . . . . . . . . . . .. 5.2-19
2N5631 ..................... 5.5-13 2N6292 ...................... 5.5-4 3EZ240D5 ................... 5.2-19
2N5639 ..................... 5.1-20 2N6328 ..................... 5.5-13 3EZ27D5 .................... 5.2-18
2N5640 ..................... 5.1-20 2N6338 ..................... 5.5-13 3EZ28D5 .................... 5;2-18
2N5655 ...................... 5.5-8 2N6339 ..................... 5.5-13 3EZ33D5 .................... 5.2-18
2N5656 ...................... 5.5-8 2N6340 ..................... 5.5-13 3EZ330D5 . . . . . . . . . . . . . . . . . .. 5.2-19
2N5657 ...................... 5.5-9 2N6341 ..................... 5.5-13 3EZ36D5 . . . . . . . . . . . . . . . . . . .. 5.2-18
2N5668 ..................... 5.1-19 2N6342 ..................... 5.7-10 3EZ4.3D5 ................... 5.2-18
2N5684 ..................... 5.5-14 2N6343 ..................... 5.7-10 3EZ400D5 ................... 5.2-19
2N5685 ..................... 5.5-14 2N6344 ..................... 5.7-10 3EZ43D5 .................... 5.2-18
2N5686 ..................... 5.5-14 2N6345 ..................... 5.7-10 3EZ47D5 .................... 5.2-18
2N5878 ..................... 5.5-12 2N6346 ..................... 5.7-10 3EZ56D5 .................... 5.2-18
2N5879 ..................... 5.5-12 2N6346A .................... 5.7-12 3EZ68D5 .................... 5.2-18
2N5880 ..................... 5.5-12 2N6347 ..................... 5.7~10 3EZ7.5D5 ................... 5.2-18
2N5881 ..................... 5.5-12 2N6347A .................... 5.7-12 3EZ75D5 .................... 5.2-19
2N5882 ..................... 5.5-12 2N6348 ..................... 5.7-10 3EZ8.2D5 ................... 5.2-18
2N5883 ..................... 5.5-13 2N6348A .................... 5.7-12 3EZ9.1D5 ................... 5.2-18
2N5884 ..................... 5.5-13 2N6349 ..................... 5.7-10 3EZ91D5 .................... 5.2-19

Device Index 7.1-32 Motorola Master Selection Guide


Device Index
Device Index Page Device Index Page Device Index Page
4N25 ........................ 5.8-6 68HC05F8 .............. 2.5-15 68HC705BD3 ......... 2.5-14,2.5-18
4N25A . . . . . . . . . . . . . . . . . . . . . .. 5.8-6 68HC05G1 .................. 2.5-15 68HC705BD5 ................ 2.5-14
4N26 ........................ 5.8-6 68HC05G3 .................. 2.5-15 68HC705BS8 ................ 2.5-14
4N27 ........................ 5.8-6 68HC05J1 ................... 2.5-15 68HC705B16 ......... 2.5-14,2.5-18
4N28 ........................ 5.8-6 68HC05J1A .......... 2.5-15,2.5-17 68HC705B32 ......... 2.5-14,2.5-18
4N29 ........................ 5.8-7 68HC05J3 . . .. .. . . .. . . . .. . . .. 2.5-15 68HC705B5 .......... 2.5-14,2.5-18
4N29A ....................... 5.8-7 68HC05KO .. 2.5-15,2.5-17 68HC705CCV ...... 2.5-15,2.5-17
4N30 ........................ 5.8-7 68HC05K1 ........... 2.5-15,2.5-17 68HC705CJ4 ................ 2.5-15
4N31 ........................ 5.8-7 68HC05K3 ........... 2.5-15,2.5-17 68HC705C4A ......... 2.5-15,2.5-18
4N32 ........................ 5.8-7 68HC05L1 ................... 2.5-15 68HC705C5 ... 2.5-14,2.5-17,2.5-18
4N33 ........................ 5.8-7 68HC05L 10 ................. 2.5-17 68HC705C8 .......... 2.5-14,2.5-17
4N35 ........................ 5.8-6 68HC05L11 ........... 2.5-16,2.5-17 68HC705C8A .. 2.5-15,2.5-17,2.5-18
4N36 ........................ 5.8-6 68HC05L16 ................. 2.5-16 68HC705C9 ................. 2.5-15
4N37 ........................ 5.8-6 68HC05L2 . . .. .. . . .. . .. .. . . .. 2.5-15 68HC705D9 .......... 2.5-15,2.5-18
4N38 ........................ 5.8-6 68HC05L5 . . .. .. . . .. .. . .. . . .. 2.5-16 68HC705E5 ................. 2.5-12
4N38A ....................... 5.8-6 68HC05L7 ............ 2.5-16,2.5-17 68HC705E6 .......... 2.5-15,2.5-18
68HC05A16 ................. 2.5-14 68HC05L9 ............ 2.5-16,2.5-17 68HC705F4 ................. 2.5-15
68HC05BD3 . . . . . . . . . . . . . . . .. 2.5-14 68HC05M4 ........... 2.5-16,2.5-17 68HC705F6 .......... 2.5-15,2.5-18
68HC05BD5 .......... 2.5-12, 2.5-14 68HC05P1 .................. 2.5-16 68HC705F8 .......... 2.5-15,2.5-18
68HC05BS8 ................. 2.5-14 68HC05P3 .................. 2.5-16 68HC705G1 .......... 2.5-15,2.5-18
68HC05B16 ................. 2.5-14 68HC05P4 .................. 2.5-16 68HC705G4 ................. 2.5-15
68HC05B32 ................. 2.5-14 68HC05P6 .................. 2.5-16 68HC705J1A .. 2.5-15,2.5-17,2.5-18
68HC05B32 ................. 2.5-12 68HC05P7 .................. 2.5-16 68HC705J2 ........... 2.5-15,2.5-18
68HC05B4 .................. 2.5-14 68HC05P7A ................. 2.5-12 68HC705J3 ........... 2.5-15,2.5-18
68HC05B6 .................. 2.5-14 68HC05P8 .................. 2.5-16 68HC705K1 ... 2.5-15,2.5-17,2.5-18
68HC05B8 .................. 2.5-14 68HC05P9 .................. 2.5-16 68HC705L1 .......... 2.5-15,2.5-18
68HC05CCV .......... 2.5-15,2.5-17 68HC05P9A ................. 2.5-12 68HC705L 10 ................ 2.5-16
68HC05CJ4 ................. 2.5-15 68HC05RC16 ................ 2.5-16 68HC705L16 ......... 2.5-16,2.5-18
68HC05CO ........... 2.5-12,2.5-14 68HC05SC11 ................ 2.5-16 68HC705L2 ................. 2.5-15
68HC05C12AC .............. 2.5-15 68HC05SC21 ................ 2.5-16 68HC705L5 .......... 2.5-16,2.5-18
68HC05C4 .................. 2.5-15 68HC05SC24 ................ 2.5-16 68HC705MC4 ............... 2.5-12
68HC05C4A . . . . . . . . . . . . . . . .. 2.5-15 68HC05SC26 ................ 2.5-12 68HC705P3 ................. 2.5-18
68HC05C5 .................. 2.5-14 68HC05SC27 ................ 2.5-16 68HC705P6 .......... 2.5-16,2.5-18
68HC05C8A ................. 2.5-15 68HC05SR3 ................. 2.5-16 68HC705P9 .......... 2.5-16,2.5-18
68HC05C9 .................. 2.5-15 68HC05T1 ........... 2.5-16,2.5-17 68HC705RC16 ........ 2.5-12,2.5-16
68HC05C9A ................. 2.5-15 68HC05T10 ................. 2.5-16 68HC705RC17 . . .. . .. . . . . .... 2.5-12
68HC05D24 ................. 2.5-15 68HC05T2 ........... 2.5-16,2.5-17 68HC705SR3 .. 2.5-12,2.5-16,2.5-18
68HC05D32 ................. 2.5-15 68HC05V7 ........... 2.5-12,2.5-16 68HC705T10 ......... 2.5-16,2.5-18
68HC05D9 .................. 2.5-15 68HC05X16 ................. 2.5-16 68HC705V8 .......... 2.5-16,2.5-18
68HC05E16 ................. 2.5-12 68HC05X32 ................. 2.5-16 68HC705X32 .. 2.5-12,2.5-16,2.5-18
68HC05E6 .................. 2.5-15 68HC05X4 .................. 2.5-16 68HC705X4 .......... 2.5-16,2.5-18
68HC05F4 .................. 2.5-15 68HC08XL36 ................ 2.5-12 68HC708XL36 ............... 2.5-12
68HC05F6 .................. 2.5-15 68HC705A24 ................ 2.5-14 68HC805K3 ................. 2.5-12

Motorola Master Selection Guide 7.1-33 Device Index


Device Index 7.1-34 Motorola Master Selection Guide
General Index
A B (continued)
A-D Converters ....................................... 4.5-2 Bipolar Transistors, Power, RF ................. 5.10-6-5.10-13
Acceleration Sensors ................................. 5.9-10 Block Erasable Flash EEPROM (BEFLASH) ............. 2.6-13
Adjustable Output Regulators ...................... .. 4.2-4 Bounce Eliminator ........................... 3.1-14
ADPCM Transcoder ..... . ............ . . ... 4.7-31 Brushless DC Motor Controllers .............. . ... 4.3-4
Advanced Comb Filter-II (ACF-II) .. . . ............. 4.8-14 Buffers ......... . . ... 3.1-14
Advanced Multistandard TV Video/Sound IF .............. 4.8-10 Buffers, 3-State .. 3.1-14
Advanced NTSC Comb Filter .. . ............ 4.8-13 Bus Interface .. 3.1-14
Advanced Packaging ............ . 1.1-1,1.1-7
Advanced PAUNTSC Encoder ....... . . .... 4.8-24
c
AGC Amplifiers .................... . ..4.1-5 C-Compiler Packages 2.1-13
Alternator Voltage Regulator............. . ........... 4.9-11 C-Quam AM Stereo Decoders ................. . .4.8-2
Amplifiers - Miscellaneous .............................. 4.1-6 Cache/Memory Management Units ...................... 2.3-3
Amplifiers and Comparators Package Overview ........... 4.1-8 Calling Line ID Receiver Evaluation Kit ... 4.7-32
Calling Line Identification (CLlD) Receiver
Amplifiers, High Power, RF ................... 5.10-28-5.10-29
with Ring Detector ................... . 4.7-32
Amplifiers, Integrated Power, RF........... . ......... 5.10-23
Case Outlines, Logic. . . . . . . . . . . . . . . . . . . . 3.1-53
Amplifiers, Low Power, RF .................... 5.10-30-5.10-34
CATV Distribution, RF ........................ 5.10-30-5.10-33
Amplifiers, RF ............................... 5.10-26-5.10-34 CBM .......................................... .. 3.1-17
Amplifiers, Video, RF ................ . 5.10-35 CDA Architecture (Customer Defined Arrays) ........ 1.1-1,1.1-4
Analog Circuits Package Overview .... . .4.10-4 Central Processing Unit .................. . . .... 2.6-2
Analog MPQ Table .................. . .. ...... 4.11-4 Central Processing Units ................ . . .. 2.6-12
Analog-to-Digital Converter (ADC) .............. . ..2.6-13 Clock Distribution Chips ................ . . ... 3.1-17
Analog-to-Digital Conversion Modules ................... 2.6-13 Clock Drivers............................... .. .. 3.1-17
AND/NAND Gates............................. .. .. 3.1-25 Closed Loop Brushless Motor Adapter .................... 4.3-7
Application Development System ............... . ..2.2-12 Closed-Caption Decoder .............................. 4.8-15
Application Specific Static RAMs ........................ 2.8-2 CMOS ................................... 1.1-1,1.1-3,3.1-2
Arithmetic Operators .................................. 3.1-14 CMOS A-D Converters ... 4.5-2
ASIC Preview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.1-1 CMOS D-A Converters ................................ 4.5-3
ASIC Regional Design Centers - United States 1.1-8 CMOS Display Drivers ................................. 4.6-9
ASIC Regional Design Centers -International......... 1.1-8 CMOS Dual Programmable Comparators ................. 4.1-6
Async 12 to 35 ns 5 V Fast Static RAMs .................. 2.8-3 CMOS Dual Programmable Operational Amplifiers ......... 4.1-6
Async 8 to 25 ns 3.3 V Fast Static RAMs ................. 2.8-3 CMOS Dual Video Amplifiers ............................ 4.1-6
Audio/Servo Loads, Bipolar Power Transistors ............ 5.5-15 CMOS Quad Programmable Operational Amplifiers ........ 4.1-6
Automotive Direction Indicator ........................... 4.9-8 Coax Cable Drivers ................................... 3.1-18
Automotive Electronic Circuits Package Overview ......... 4.9-12 Comb Filters .......................................... 4.8-3
Automotive ISO 9141 Serial Link Driver. . . . . . .. 4.9-10 Communication Modules .............................. 2.6-13
Automotive Wash Wiper Timer .......................... 4.9-9 Communications Circuits Package Overview ............. 4.7-39
Communications, Power and Signal Technologies Group ... 5.0-1
B Comparators ................................... 3.1-18,4.1-7
Balanced Modulator/Demodulator ..... . . .......... 4.7-4 Complex Gates ...................................... 3.1-27
Battery Management Circuits .......................... 4.2-21 Configurable Timer Module (CTM) ...................... 2.6-12
Bipolar ......................................... 1.1-1,1.1-2 Configuration and Order Information for MMDS/MMEVS ... 2.5-14
Bipolar A-D Converters ................................ 4.5-2 Configuration and Order Information for Other Motorola
DevelopmentTools (EVM/EVS/ICS) ................... 2.5-17
Bipolar Amplifiers ...................................... 4.1-6
Configuration and Order Information for Programmers ..... 2.5-18
Bipolar Dual Operational Amplifiers ...................... 4.1-6
Consumer Electronic Circuits Package Overview ......... 4.8-25
Bipolar Dual Voltage Comparators ....................... 4.1-6
Continuously Variable Slope Delta (CVSD)
Bipolar Power Electronic Lamp Ballasts ......... . ..... 5.5-16 Modulator/Demodulator .............................. 4.7-33
Bipolar Power Transistors ............... . ....... 5.5-2 Converters .......................................... 3.1-19
Bipolar Power TranSistors, Audio ....................... 5.5-15 Coprocessors.. . ..................................... 2.2-9
Bipolar Power Transistors, Audio/Servo Loads ............ 5.5-15 Cordless Universal Telephone Interface ................. 4.7-22
Bipolar Power Transistors, DPAK ....................... 5.5-10 Counters ............................................ 3.1-19
Bipolar Power Transistors, Electronic Lamp Ballasts ....... 5.5-16 CPSTG Surface Mount Information ..................... 5.11-2
Bipolar Power TranSistors, Metal ....................... 5.5-11 CPU16........... . ............................ 2.6-12
Bipolar Power Transistors, Plastic ..... 5.5-3, 5.5-6, 5.5-7, 5.5-8 CPU32 .............................................. 2.6-12
Bipolar Telecommunication Circuit Summary ............. 4.7-34 CRT Drivers, RF .................................... 5.10-35

Motorola Master Selection Guide 7.2-1 General Index


General Index
C (continued) E (continued)
CSIC Microcontroller Division World Wide Web Site ... . .. 2.5-22 ECLinPS .............. _............................. 3.1-50
Current Mode Switching Regulator ...................... 4.7-30 ECLinPS lite ................................. 3.1-50, 3.1-52
EDACs ............................................. 3.1-22
D
EIA Standard Line Drivers .............................. 4.6--7
D-A Converters ....................................... 4.5-3 EIA Standard Line Receivers ............................ 4.6-7
Data Communication Devices .......................... 2.2-10 EIA-232-EN.28 CMOS Drivers/Receivers ................ 4.6--8
Data Conversion Package Overview ......... . .... 4.5-4 Electronic Ignition ..................................... 4.9-2
DC Servo Motor Controller/Driver ........................ 4.3-8 Electronic Telephone .................................. 4.7-19
Decoder ............................................. 3.1-22 Electronic Telephone Circuits .......................... 4.7-19
Decoder/Demultiplexers ............................... 3.1-21 Embedded Controllers ................................. 2.2-5
Decoders ............................. . .......... 3.1-21 Encoder/Decoder ..................................... 3.1-22
Deflection ...... 4.8-3
Encoders ...................................... 3.1-22,4.8-3
Demultiplexers ..... ..... 3.1-21
Enhanced Closed-Caption Decoder .................... 4.8-16
Design Automation Software ................. . 1.1-1,1.1--6
Enhanced Ethernet Transceiver ......................... 4.6-2
Design-In Software Packages ................ . .... 2.1-12
Entertainment Radio Receiver Circuits ................... 4.8-2
Detectors ............................. . 3.1-21
Entertainment Radio Receiver Circuits - Audio Amplifiers ... 4.8-2
Developer's Workbench ................................ 2.7-6
Entertainment Receiver RF/IF ........................... 4.8-2
DevelopmentTools .......................... . ..... 2.2-12
ETl Series Arrays ............................... 1.1-1,1.1-2
Device Index, Logic ................................... 3.1-40
Evaluation Boards, RF Monolithic Integrated Circuits ..... 5.10-20
Device Nomenclatures, logic ........... . .... 3.1-49
Expanders ........................................... 3.1-22
Digital I/O and Special Functions ........................ 2.6-2
EZFETs, HDTMOS Power MOSFETs .................... 5.4-9
Digital Signal Processors ... . . . . . . . . . . . . . . . . . ........ 2.1-1
Digital-to-Analog Conversion ............................ 2.6-2
F
Digitally Controlled Video Processor for Multimedia
Applications ......................................... 4.8-6 FACT ............................................... 3.1-50
Direct Memory Access (DMA) .......................... 2.6-13 Family Wideband General Purpose Amplifiers ............. 4.1-5
Discrete Products ........ . . . . . . . . . . . . . . . . ..... 5.0-1 FAST ............................................... 3.1-49
Discrete Transistors, RF ....................... 5.10-2-5.10-19 Fast Static RAM Modules ............................... 2.8-4
Display Decode Drivers .................. . ...... 3.1-22 Fast Static RAMs ...................................... 2.8-2
Dividers . . . . . . . . . . ............. . ........ 3.1-22 FETs, TMOS Power MOSFETs .......................... 5.4--1
DMA Controliers ................... . ................. 2.2-9 Fiber Distributed Data Interface ........................ 2.2-11
Double-Ended Controliers ............................. 4.2-12 Fiber Optic Receivers, RF ............................ 5.10--35
DPAK .............................................. 5.5-10 Field Programmable Gate Arrays (FPGA) ................. 3.1-5
DPAK-Surface Mount, Bipolar Power Transistors ......... 5.5-10 Field-EffectTransistors, Small Signal ............ 5.1-19,5.1-41
DRAM Modules ....................................... 2.8-5 5.0 V, 200 M-BitiSec PR-IV Hard Disk Drive
Driver ............................................... 3.1-22 Read Channel ....................................... 4.6--5
DSP Development Tools ............................... 2.1-10 Fixed Voltage Medium and low Dropout Regulators ....... ' 4.2-3
DTlCircuits ......................................... 3.1-51 Flash EEPROM (FLASH) .............................. 2.6-13
Dual Bipolar Comparators .............................. 4.1-7 Flip-Flop/Registers ................................... 3.1-23
Dual Channel Controllers ... . . . . . . . . . . . . . . . . . . .. . ..... 4.2-15 Flip-Flops ........................................... 3.1-23
Dual CMOS Comparators .............................. 4.1-7 Footprints for Soldering, CPSTG ....................... 5.11-5
Dual Comparators ..................................... 4.1-7 Freeware Bulletin Board ............................... 2.5-22
Dual Data Link Controller .............................. 4.7-17 Fuzzy logic ......................................... 2.6--26
Dual Operational Amplifier and Dual Voltage Comparator ... 4.1-6
Dual Operational Amplifiers ............................. 4.1-3 G
Dual Timing Circuits .................................. 4.10-2
GaAs Amplifier Supervisory Circuit ....................... 4.2-7
Dual Tone Multiple Frequency Receiver ................. 4.7-15
GaAs Rectifiers Power Manager ........................ 5.6--14
Dual Universal Asynchronous/Synchronous Receiver
Transmitter (DUART) ................................ 2.6-13 Gates
AND/NAND ....................................... 3.1-25
Dynamic RAMs ....................................... 2.8-5
Complex ......................................... 3.1-27
Dynamic RAMs (HCMOS) .............................. 2.8-6 NOR ............................................. 3.1-28
OR .............................................. 3.1-28
E XORIXNOR ...................................... 3.1-27
ECl ................................................. 3.1-3 General Purpose I/O .................................. 2.2-11
ECl Series Arrays ............................... 1.1-1,1.1-2 General Purpose Timer (GPT) .......................... 2.6-12

General Index 7.2-2 Motorola Master Selection Guide


General Index
H L (continued)
H4CSeries .................•....•.............. 1.1-1,1.1-4 Linear Voltage Regulators .............................. 4.2-2
H4CPlusSeries ......................•.......... 1.1-1,1.1-5 Linear Voltage Regulators - Adjustable Output ............ 4.2-4
Hard Disk Drive Read Channel .......................... 4.6-8 Linear Voltage Regulators - Fixed Output ................. 4.2-2
HDC Series ..................................... 1.1-1,1.1-3 Logic Device Index ................................... 3.1-40
HDTMOS Power MOSFETs ............................. 5.4-3 Logic Devices
HDTMOS Power MOSFETs, EZFET .............. 5.4-4,5.4-17 CMOS ............................................ 3.1-2
ECL .............................................. 3.1-3
HDTMOS Power MOSFETs, Micr08 ............... 5.4-4, 5.4-17
Family Comparison ................................. 3.1-1
Hex EIA-485 Transceiver with Three-State Outputs ....... 4.6-4 HowtoChoose .................................... 3.1-1
HF Transistors, RF ................................... 5.10-6 TTL ....................... : ....................... 3.1-2
High Cell Density (HDTMOS) ........................... 5.4-3 Logic Functions ................................ : ..... 3.1-13
High Frequency Amplifiers .............................. 4.1-5 Logic Literature Listing ................................ 3.1-88
High Performance Decoder Driver/Sink Driver ............. 4.6-3 LonWorks Products .................................... 2.7-1
High Side TMOS Driver ................................ 4.9-7 Low Dropout Regulator ................................. 4.2--6
High Voltage Switching Regulator ................ 4.2-13, 4.2-14 Low Side Protected Switch ............................. 4.9--6
High-Side Driver Switch ................................ 4.3-4 LS - Low Power Schottky ............................. 3.1-49
High-Speed CMOS ................................... 3.1-50 LVQ ................................................ 3.1-51
Hot-Carrier (Schottky) Diodes, Small Signal ............. 5.1-32
How to Access Freeware .............................. 2.6-26 M
HTL Circuits ......................................... 3.1-51 M6800 Series Microprocessors and Peripherals .......... 2.6-10
Hybrid Power Modules ................................. 5.3-1 M68000 Family ....................................... 2.2-1
M68040FPSP ........................................ 2.2-12
M68300 Family ...................................... 2.6-19
ICU ...........•..................................... 3.1-29 M68340EVS - Evaluation System ..................... 2.2-12
IEEE 802.3 Transceivers ............................... 4.6-8 M68ECOxOl DP - Evaluation Boards for Embedded
IGBTs (Insulated Gate Bipolar Transistor), Power Controllers ......................................... 2.2-12
MOSFETs ................................... 5.4-7,5.4-22 M68HC05 CSIC Family ................................ 2.5-2
IGBTs, Hybrid Power Modules ........................... 5.3-2 M68HC05 Industry Solutions ......................... 2.5-2
Ignition IGBTs, Power MOSFETs ....................... 5.4-22 68HC05 General-Purpose Microcontrollers .......... 2.5-2
Automotive .........•............................ 2.5-2
Industrial Control Unit ................................. 3.1-29
Computer ....................................... 2.5-2
Infrared Transceiver ................................... 4.7-4 Consumer ....................................... 2.5-3
Insulated Gate Bipolar Transistor, Power MOSFETs . 5.4-7,5.4-22 Industrial ., ...................................... 2.5-3
Insulated Gate Bipolar Transistors, Hybrid Power Modules .. 5.3-2 Low-Voltage Microcontrollers ...................... 2.5-2
Integrated Circuits, RF Monolithic .............. 5.10-20-5.10-25 Telecommunications .....••....................... 2.5-3
Integrated Circuits, RF Monolithic, Evaluation Boards ..... 5.10-20 Television and Video .............................. 2.5-3
Integrated Power Amplifiers, RF ....................... 5.10-23 M68HC05 Family ..................................... 2.6-22
M68HC05 Microcontroller Development Tools
Integrated Power Stage IGBTs, Hybrid Power Modules ..... 5.3-2
Choosing Between the MMEVS and MMDS ........... 2.5-14
Integrated Processors .................................. 2.2-7
Modular Architecture Benefits ....................... 2.5-14
Integrated Services Digital Network ..................... 4.7-15
M68HC08 Family ..................................... 2.5-13
Integration Modules ................................... 2.6-12 M68HC11 Family ...........................•... 2.6-2,2.6-22
Interface Circuits Package Overview .................... 4.6-10 M68HC16 Family ..................................... 2.6-14
Intermodule Bus Peripherals ........................... 2.6-12 M68KESW-PC1 ...................................... 2.2-12
Inverter/Buffers, 2-State ............................... 3.1-29 M88000 RISC Family .................................. 2.3-1
Inverters ............................................ 3.1-29 Masked ROM (MRM) ................................. 2.6-13
ISDN Voice/Data Circuits .............................. 4.7-15 Math Coprocessor ............•.....•.................. 2.6-2
ISO 8802-3[IEEE 802.3]1 OBASE-T Transceiver .......... 4.6-3 MC2681 - Dual Universal Asynchronous
ReceiverlTransmitter, DUART ........................ 2.2-10
L MC68000 - The 16-Bit Foundations ..........••......... 2.2-4
Land Mobile/Portable Amplifiers, RF ........... 5.10-28-5.10-29 MC68008 - An 8-Bit Compatible Competitor ..•........•.. 2.2-4
Latches .........................•................... 3.1-30 MC68010 - A Virtual Memory Enhancement ............. 2.2-4
LCX ................................................ 3.1-51 MC68020 - The Original 32-Bit Performance Standard .... 2.2-4
Line Drivers .......................................... 4.6-7 MC68030 - The Second Generation 32-Bit MPU .......... 2.2-3
Line Receivers ........................................ 4.6-7 MC68040 - Third-Generation 32-Bit Microprocessor .....•. 2.2-3
Line Transceivers ..................................... 4.6-7 MC68060 - Superscaler 32-Bit Microprocessor ........... 2.2-3
Linear Four-Quadrant Multipliers ....................... 4.10-2 MC68184 - Broadband Interface Controller .............. 2.2-9
Linear Transistors, RF ....................... 5.10-12-5.10-13 MC68185 - Twisted-Pair Modem ....................... 2.2-9

Motorola Master Selection Guide 7.2-3 General Index


General Index
M (continued) M (continued)
MC68194 - Carrierband Modem .....................•. 2.2-10 MI-Bus Interface Stepper Motor Controller ...........•.... 4.9-8
MC68195 - LocalTalk Adaptor ......................... 2.2-10 Micro8 Products ....................................... 5.4-8
MC68230 - Parallel Interfacemmer, PlfT ............... 2.2-11 Microcomputer Components, Single-Chip Microcontrollers
(MCU) ........................................ 2.5-1,2.6-1
MC68302 - Integrated Multiprotocol Processor ........... 2.2-7
Microcontroller Development Tools ............... 2.5-14, 2.6-22
MC68306 - Integrated 68ECOO Processor ............... 2.2-8
Microcontroller Electronic Bulletin Board .........•..•.... 2.6-26
MC68330 - Integrated CPU32 Processo~ ................ 2.2-8
MicroCool OFP (Ouad Flat Package) ............... 1.1-1,1.1-7
MC68331 - 32-Bit Microcontroller ....................... 2.2-8
Microprocessor Voltage Regulator and SuperviSOry Circuit .. 4.2-8
MC68332 - 32-Bit Microcontroller ....................... 2.2-8
Microprocessors ................................ 2.2-2, 2.3-2
MC68334 - 32-Bit Microcontroller ....................... 2.2-8
Microwave Transistors, RF ............. "...... 5.10-10-5.10-11
MC68340 - Integrated Multiprotocol Processor with DMA .. 2.2-8
MiniMOS Products ............................. 5.4-8, 5.4-17
MC68440 - Dual Direct Memory Access Controller, DDMA . 2.2-9
Miscellaneous Logic .................................. 3.1-31
MC68450 - DMA Controller, DMAC ..................... 2.2-9
Modular Microcontroller Families ....................•.. 2.6-22
MC68605 - X.25 Protocol Controller, XPC .............. 2.2-10
Modular Microcontrollers .............................. 2.6-12
MC68606 - Multi-Link LAPD Controller CCITT Modulator ............................................ 4.8-4
0.920/0.921, LAPD ................................. 2.2-10
Monitor Subsystem .................................... 4.8-4
MC68681 - Dual Universal Asynchronous
ReceiverfTransmitter, DUART ........................ 2.2-10 Monolithic Integrated Circuits, RF .............. 5.10-20-5.10-25
MC68824 - Token Bus Controller, TBC .................. 2.2-9 MOSAIC III ..................................... 1.1-1,1.1-2
MCS883S - FDDI Clock Generator ..................... 2.2-11 MOSFET/IGBT Drivers ................................ 4.2-25
MCS8837 - Elasticity Buffer and Link Manager .......... 2.2-11 MOSFETs, Power, RF .......................... 5.10-4-5.10-5
MCS8838 - Media Access Controller .•................. 2.2-11 MOSFETs, Power, TMOS ............................... 5.4-1
Motor Controllers ...................................... 4.3-4
MCS8839-FDDI System Interface .................... 2.2-11
Motorola Programmable Array (MPA) ....•............... 3.1-5
MCS8851 - Paged Memory Management Unit, PMMU ..... 2.2-9
Motorola SPS World Marketing Internet Server ..•......... 6.1-1
MCS8881 - A Floating Point Coprocessor ................ 2.2-9
Motorola Technical Training Courses ...•................. S.1-7
MCS8882 - Enhanced Floating Point Coprocessor ........ 2.2-9
MPC105 PCI Bridge/Memory Controller ...........•..... 2.4-15
MCS8901 - Multifunction Peripheral, MFP .............. 2.2-11
MPC106 PCI Bridge/Memory Controller .........•....... 2.4-16
MCS8ECOOO - Low-Powered HCMOS Embedded
Controller ........................................... 2.2-8 MPCS01 RISC Microprocessor .......................... 2.4-2
MCS8EC020 - 32-Bit Embedded Controller .............. 2.2-8 MPCS02 RISC Microprocessor .......................... 2.4-3
MCS8EC030 - 32-Bit Enhanced Embedded Controller ..... 2.2-8 MPCS03 RISC Microprocessor .......................... 2.4-3
MCS8EC040 - 32-Bit High-Performance Embedded MPCS03e RISC Microprocessor •......................•. 2.4-8
Controller ........................................... 2.2-5 MPCS04 RISC Microprocessor .......................... 2.4-9
MCS8F333 - 32-Bit Microcontroller ..................... 2.2-8 MPCS04e RISC Microprocessor ...................•..... 2.4-9
MCS8HCOOO - A Micropower Alternative ................ 2.2-4 MPCS20 RISC Microprocessor ......................... 2.4-13
MCS8HC001 - Low Power HCMOS 8-/1S-/32-Bit Multi-Channel Communications Interface (MCCI) .....•... 2.6-13
Microprocessor ...................................... 2.2-4 Multiplexer/Data Selectors ............................. 3.1-31
MCS8HC05 Microcontrollers ............................ 2.5-8 Multipliers ...•••........................•............ 4.10-2
MC881 OORC - 32-Bit RISC Microprocessor ............... 2.3-2 Multistandard Videoffimebase Processor .•.............. 4.8-12
MC8811 ORC - 32-Bit RISC Microprocessor .............. 2.3-2 Multivibrators ........................................ 3.1-33
MC88200RC - 1S-Kiloby1e Cache/Memory Management
Unit ................................................ 2.3-3 N
MC88204RC - 64K-By1e Cache/Memory Management NAND Gates ......................................... 3.1-25
Unit ................................................ 2.3-3
Narrowband Dual Conversion Receivers - FMlFSK - VHF .. 4.7-3
MC88410 - Secondary Cache Controller ................ 2.3-3
Narrowband FM Coilless Detector IF Subsystem ........... 4.7-7
MCA Arrays .......................................... 1.1-2 Narrowband FM Receiver .............................. 4.7-8
MECL 10H .......................................... 3.1-49 Narrowband Single Conversion Receivers - VHF .......•.. 4.7-2
MECL 10K .......................................... 3.1-49 Network Devices ...................................... 2.2-9
MECL III ............................................ 3.1-51 Neuron Chips ......................................... 2.7-2
Medium Power MOSFETs, TMOS ................ 5.4-9,5.4-18 NOR Gates .......................................... 3.1-28
Memory Modules ..................................... 2.6-13
Memory Products ..................................... 2.8-1 o
Memory Support ..................................... 3.1-31 OACS (Open Architecture CAD System) ..•.•.•..... 1.1-1,1.1-8
Metal ............................................... 5.5-11 Octal Serial Switch .................................... 4.9-5
Metal Gate 14000 Series CMOS ........................ 3.1-50 OMPAC (Over-Molded Pad Array Carrier) .......... 1.1-1,1.1-7
Metal TQ-204AA, TQ-204AE, Bipolar Power Transistors .. 5.5-11 On-Chip Memory ....................................... 2.6-2
Mfax - Touch-Tone FAX for Technical Data ............... S.1-1 On-Line Help ................................. 2.5-22, 2.6-26

General Index 7.2-4 Motorola Master Selection Guide


General Index
o (continued) P (continued)
One-Time Programmable (OTP) / Emulator MCUs ........ 2.5-10 Power/Motor Control Circuits Package Overview .......... 4.3-12
Operational Amplifiers .............•.................... 4.1-2 PowerPC RISC Family ................................. 2.4-1
Optoelectronic Devices ................................. 5.8-1 Precision Low Voltage References ....................... 4.4-2
Optoelectronic Devices, 6-Pin Devices ............. 5.8-1, 5.8-5 Prescalers ........................................... 3.1--34
Optoelectronic Devices, Optoisolators .............. 5.8-1, 5.8-2 Pressure Sensors, 4-Pin ................... 5.9-5, 5.9-7, 5.9-9
Optoelectronic Devices, POWER OPTO Isolators ... 5.8-1, 5.8-10 Pressure Sensors, 6-Pin ................... 5.9-5,5.9-7,5.9-8
Optoisolators, Optoelectronic Devices .............. 5.8-1, 5.8-2 Pressure Sensors, Compensated and Calibrated
(On-Chip) .................................... 5.9-6,5.9-7
Optoisolators, So-a ................................... 5.8-9
Pressure Sensors, Compensated and Calibrated,
OR Gates ........................................... 3.1-28 Medical Grade ....................................... 5.9-6
Ordering Information, Logic ............................ 3.1-49 Pressure Sensors, High Impedance ...................... 5.9-6
Oscillatormmers ..................................... 3.1--34 Pressure Sensors, Motorola's Patented X-ducer ........... 5.9-2
Oscillators ........................................... 3.1--34 Pressure Sensors, Packaging Options .................. 5.9-12
Over/Undervoltage Protection Circuit .................... 4.2-18 Pressure Sensors, Signal Conditioned .............. 5.9-6, 5.9-8
Overvoltage Crowbar Sensing Circuit ................... 4.2-18 Pressure Sensors, Uncompensated ...................... 5.9-6
Programmable Logic ................................... 3.1-5
p
Programmable Telephone Line Interface Circuit with
Packaging Specifications, CPSTG ...................... 5.12-7 Loudspeaker Amplifier ............................... 4.7-23
Parity Checkers ...................................... 3.1--34 Programmable Delay Chips ............................ 3.1--35
PBX Architecture (Analog Transmission) ................. 4.7-12 PROMs, Logic ....................................... 3.1--35
PCI (peripheral component interconnect) bus ............. 2.4-15 Pulse-Width Modulation ................................ 2.6-2
PCM Mono-Circuits Codee-Filters (CMOS LSI) .......... 4.7-12 Q
Peripheral Drivers ..................................... 4.6-8
Peripherals .................................... 2.1-6,2.1-12 QFP-MCR (Quad Flat Package-Molded Carrier Ring) ....... 1.1-1
QFP-MCR (Quad Flat Package-Molded Carrier Ring) ...... 1.1-7
Phase-Locked Loop .................................. 3.1--34
Quad Bipolar Comparators ............................. 4.1-7
Phase-Locked Loop Components ...................... 4.7--36
Quad CMOS Comparators .............................. 4.1-7
Picture--in-Picture (PIP) Controller ...................... 4.8-11
Quad Comparators .................................... 4.1-7
Pin Conversion, Logic ................................. 3.1-86
Quad Fuel Injector Driver ............................... 4.9-4
PLCC ............................................... 4.11-4
Quad Operational Amplifiers ............................ 4.1-4
PLL Frequency Synthesizers ........................... 4.7--36
Quad Programmable Operational Amplifier ................ 4.1-6
PLL Tuning Circuit with 3-Wire Bus ..................... 4.8-22
Queued Analog-to-Digital Converter (QADC) ............. 2.6-13
PLL Tuning Circuit with 12C Bus .......... 4.8-20, 4.8-22, 4.8-23
Queued Serial Module (QSM) .......................... 2.6-13
PLL Tuning Circuits with 3-Wire Bus .................... 4.8-19
PLL Tuning Circuits with 12C Bus ....................... 4.8-21 R
Power Audio Output Stage ............................. 5.5-15
RAMs, Logic ......................................... 3.1-35
Power Bipolar Transistors, RF .................. 5.10--6-5.10-13
Read/Write Channel ................................... 4.6-8
Power Controllers ..................................... 4.3-2
Receiver Functions, RF ...................... 5.10-21-5.10-22
Power Factor Controllers ....................... 4.2-15, 4.2-16 Receivers ........................................... 3.1--35
Power Management Controller .......................... 4.2-7 Rectifier Numbering System ............................ 5.6-2
Power MOSFETs, D2PAK ............... 5.4-11,5.4-19,5.4-21 Rectifiers ............................................. 5.6-1
Power MOSFETs, D3PAK ............................. 5.4-12 Rectifiers, Application Specific .......................... 5.6-3
Power MOSFETs, DPAK ................ 5.4-10, 5.4-18, 5.4-20 Rectifiers, Automotive Transient Suppressors ............. 5.6-3
Power MOSFETs, High Power Products ................. 5.4-16 Rectifiers, Axial Lead Schottky .......................... 5.6-8
Power MOSFETs, Ignition IGBTs ........................ 5.4-7 Rectifiers, Axial Lead Ultrafast ......................... 5.6-10
Power MOSFETs, Logic Level Packages ......... 5.4-20, 5.4-21 Rectifiers, Fast Recovery .............................. 5.6-13
Power MOSFETs, RF .......................... 5.1 ~.1 (}-5 Rectifiers, GaAs Power Manager ....................... 5.6-14
Power MOSFETs, So-a ......................... 5.4-6,5.4-17 Rectifiers, General Purpose ............................ 5.6-13
Power MOSFETs, SOT-223 .............. 5.4-9, 5.4-18, 5.4-20 Rectifiers, Low VF Schottky ............................. 5.6-3
Power MOSFETs, TMOS ............................... 5.4-1 Rectifiers, MEGAHERTZ ............................... 5.6-3
Power MOSFETs, T0-220AB ............ 5.4-13,5.4-19,5.4-21 Rectifiers, POWERTAP II ........................ 5.6-9,5.6-12
Power MOSFETs, T0-247 Isolated Mounting Hole ........ 5.4-15 Rectifiers, SCANSWITCH .............................. 5.6-3
Power MOSFETs, T0-264 High Power Products ......... 5.4-16 Rectifiers, Surface Mount Schottky ......................• 5.6-4
Power MOSFETs, T0--3PBL .......•................... 5.4-16 Rectifiers, Surface Mount Ultrafast ...................... 5.6-10
POWER OPTO Isolators, Optoelectronic Devices ... 5.8-1, 5.8-10 Rectifiers, SWITCHMODE .. : ........................... 5.6-4
Power Supply Circuits Package Overview ............... 4.2-26 Rectifiers, T0-218 Types and T0-247 Schottky ........... 5.6-8

Motorola Master Selection Guide 7.2-5 General Index


General Index
R (continued) S (continued)
Rectifiers, T0-218 Types Ultrafast .................... " 5.6-12 Single Timing Circuits ............................... :. 4.10-2
Rectifiers, T0-220 Type Schottky ...................... :. 5.6~7 Single-Chip Integration Module (SCIM) .................. 2.6-12
Rectifiers, TO-220 Type Ultrafast ....................... 5.6-11 Single-Ended Controllers ............................. " 4.2-9
Rectifiers, T0-247 Ultrafast ............................ 5.6-12 Single-Ended Controllers with On-Chip Power Switch ..... 4.2-11
Rectifiers, Ultrafast ................................... 5.6-10 Small Signal Bipolar Transistors .................. 5.1-2,5.1-40
Register Files ........................................ 3.1-36 Small Signal GreenLine Devices ........................ 5.1-40
Registers ............................................ 3.1-36 Small Signal Transistors, RF ., ................ 5.10-14-5.10-19
RF Amplifiers ............ : .................. 5.10-26-5.10-34 Small Signal Transistors .............................. " 5.1-1
RF Communications ................................... 4.7-2 Small Signal Tuning and Switching Diodes. 5.1-24,5.1-34,5.1-41
RF CRT Drivers ..................................... 5.10-35 Small Signal, D0-204AA (D0-7) ................ 5.1-25,5.1-31
RF Discrete Transistors ....................... 5.10-2-5.10-19 Small Signal,
FETs ............................ 5.1-22,5.1-41
RF HF Transistors .................................... 5.10-6 Small Signal,
HDTMOS ............................... 5.1-41
RF High Power Land Mobile/Portable Amplifiers . 5.10-28-5.10-29 Small Signal,
Hot-Carrier (Schottky) Diodes ............. 5.1-32
RF High Power TV Transmitters ...................... , 5.10-29 Small JFETs .................................. 5.1-19
Signal,
RF Integrated Power Amplifiers ....................... 5.10-23 Small S~59 .................... 5.1-11,5.1-35,5.1-41
Signal,
RF Linear Transistors ........................ 5.10-12-5.10-13 Small Signal,
SC-70/S0T-323 ... 5.1-11,5.1-13,5.1-30,5.1-33,
5.1-35,5.1-41
RF Low Power CATV Distribution .............. 5.10-30-5.10-33
Small Signal, S0-14 .................................. 5.1-39
RF Microwave Transistors .................... 5.10-10-5.10-11
SmaIiSignal,S0-16 ............................ 5.1--8,5.1-39
RF Monolithic Integrated Circuits .............. 5.10-20-5.1'0-25
Small Signal, SOO-123 ............................... 5.1-41
RF Power Bipolar Power Transistors ............ 5.10-6-5.10-13
Small Signal, SOT-223 ................. 5.1-15,5.1-23,5.1-31
RF Power MOSFETs ........................... 5.10-4-5.10-5
Small Signal, SOT-23 ........... 5.1':10,5.1-22,5.1-27,5.1-30,
RF Products ......................................... 5.10-1 5.1-35,5.1-41
RF Receiver Functions ....................... 5.10-21-5.10-22 Small Signal, TMOS MOSFETs ......................... 5.1-21
RF Small Signal Transistors ................... 5.10-14-5.10-19 Small Signal, T0-116 ........................... 5.1-9,5.1-39
RF Switching ....................................... 5.10-21 Small Signal, T0-205AD (T0-39) ...................... 5.1-17
RF Transmitter Functions ..................... 5.10-22-5.10-24 SmaIiSignal,T0-206AA(T0-18) ...................... 5.1-17
RF UHF Transistors ........................... 5.10-7-5.10-8 Small Signal, T0-226AA (T0--82) .. 5.1-2,5.1-19,5.1-21,5.1-27
RF VHF Transistors ............................ 5.10~5.10-7 Small Signal, T0-226AC (T0-92) ....... 5.1-26,5.1-30,5.1-31,
RF, PFP-16 ........................................ 5.10-23 5.1-32, 5.1-35
RF, SC-70/S0T-323 ........................ 5.10-17-5.10-18 Small Signal, T0-226AE (T0-92) ................ 5.1-3,5.1-21
RF, S0-16 ................................. 5.10-21-5.10-25 Small Signal, TO-236AB ........ 5.1-10,5.1-22,5.1-30,5.1-31,
5.1-32,5.1-33,5.1-35,5.1-41
RF, So-a .......................... 5.10-8,5.10-17-5.10-25
SMARTDISCRETES Products .......................... 5.4-7
RF, SOT-143 .............. 5.10-17-5.10-19,5.10-21-5.10-22
Smoke Detectors (CMOS) ....... ~ ..................... 4.10-3
RF, SOT-23 ....................... 5.10-16,5.10-18-5.10-19
SO--8, Optoisolators ................................... 5.8-9
RF, TSSOP-16 ............ 5.10-21-5.10-22,5.10-24-5.10-25
SO--8, Thyristors ................................ ; .... 5.7-14
RF, TSSOP-20 ..................................... 5.10-22
SOE Case, Ceramic, RF ............................. 5.10-17
s SOE Case, Plastic, RF ....................... 5.10-15-5.10-17
Software Support ..................................... 2.2-12
Schmitt Triggers ...................................... 3.1-36 SOIC ............................................... 4.11-4
SCSI Bus Terminators ................................. 3.1-36 Sound ............................................... 4.8-4
SCSI Regulator ....................................... 4.2--8 Speakerphone Integrated Circuits ....................... 4:7-29
Second Generation SIT-Interface Transceivers ........... 4.7-16 Speakerphones ...................................... 4.7-25
Second Generation U-Interface Transceivers ............ 4.7-16 Special Functions ..................................... 4.9-3
Semicustom Application Specific Integrated Circuits . . . . . . .. 1.0-1 Special Logic Circuits ................................. 3.1-51
Sensors ............................................. , 5.9-1 Special Regulators .................................... 4.2-5
Serial Communication .................................. 2.6-2 Special Switching Regulator Controllers ................. 4.2-15
Shift Registers ....................................... 3.1-36 Specialized Control Modules ........................... 2.6-13
Sigma-Delta A-D Converters ........................... 4.5-2 Speech Networks .................................... 4.7-21
Sigma-Delta D-A Converters ........................... 4.5-3 Standby RAM (SRAM) ................................ 2.6-13
Silicon Controlled Rectifiers (SCRs), Thyristors ............ 5.7-2 Standby RAM with TPU Emulation (TPURAM) ............ 2.6-13
Single Bipolar Comparators ............................. 4.1-7 Stepper Motor Driver ................................... 4.3--8
Single CMOS Comparators ............................. 4.1-7 Sub-Micron CMOS .............................. 1.1-1,1.1-5
Single Comparators .................................... 4.1-7 Subscriber Loop Interface Circuit (SLlC) ................. 4.7-11
Single Operational Amplifiers ........................... 4.1-2 Supervisory Circuits .................................. 4.2-18

General Index 7.2-6 Motorola Master Selection Guide


General Index
S (continued) T (continued)
Surface Mount Information, CPSTG ..................... 5.11-2 TMOS v ............................................. 5.4-5
Surface Mount Products, Bipolar Power Transistors ....... 5.5-10 TO-218, Bipolar Power Transistors ...................... 5.5-6
Surface Mount Products, Optoelectronic Devices .......... 5.8-9 TO-220AB, Bipolar Power Transistors .................... 5.5-3
Surface Mount Products, Power MOSFETs . 5.4-8, 5.4-9, 5.4-10, TO-220AB, Thyristors ........................... 5.7-4,5.7-8
5.4-11,5.4-12,5.4-17,5.4-18,5.4-19,5.4-20 TO-225AA, Bipolar Power Transistors .................... 5.5-8
Surface Mount Products, Rectifiers ...................... 5.6-4 TO-225AA, Thyristors ........................... 5.7-3,5.7-7
Surface Mount Products, RF ..... . . . .. 5.10-8, 5.10-16-5.10-24 TQ-226AA (TO-92), Thyristors .................... 5.7-2,5.7-7
Surface Mount Products, Small Signal ............ 5.1-9,5.1-10, TO-247, Bipolar Power Transistors ...................... 5.5-7
5.1-22,5.1-27,5.1-31,5.1-35,5.1-36,5.1-40 TQ-264, Bipolar Power Transistors ...................... 5.5-8
Surface Mount Products, Thyristors ...................... 5.7-7 TQ-92 .............................................. 4.11-4
Surface Mount Products, TVS/Zeners ............. 5.2-9,5.2-21 TQ-92, Thyristors .................................... 5.7-14
Switching Regulator Control Circuits ..................... 4.2-9 Tone Ringers ........................................ 4.7-20
SWITCH MODE Rectifiers .............................. 5.6-4 Transceivers ......................................... 3.1-38
Synchronous Fast Static RAMs .......................... 2.8-2 Transient Voltage Suppressors .......................... 5.2-2
Synthesizers ......................................... 3.1-38 Transient Voltage Suppressors, Axial Leaded for
System Integration Module (SIM) ....................... 2.6-12 Through-hole Designs ................................ 5.2-2
Transient Voltage Suppressors, General Purpose .......... 5.2-2
T Transient Voltage Suppressors, Mini Mosorb .............. 5.2-2
Tape and Reel ....................................... 4.11-2 Transient Voltage Suppressors, Mosorb .................. 5.2-6
Tape and Reel Specifications, CPSTG ................... 5.12-2 Transient Voltage Suppressors, Overvoltage Transient .... 5.2-15
Transient Voltage Suppressors, SMC ................... 5.2-13
Tape and Reel, Logic ................................. 3.1-87
Transient Voltage Suppressors, Surface Mount ............ 5.2-9
Tape/Reel and Ammo Pack ............................ 4.11-4
Transient Voltage Suppressors, Surmetic 40 ....... 5.2-4,5.2-18
Technical Data and Literature ..................... 6.1-2,6.1-6
Transistors, Discrete, RF ...................... 5.10-2-5.10-19
Technical Data Services ................................ 6.1-1
Transistors, Power Bipolar, RF ................. 5.10-6-5.10-13
Technical Training Centers ............................. 6.1-11
Transistors, Power MOSFETs, RF ............... 5.10-4-5.10-5
Telecommunications .................................. 4.7-11
Transistors, Small Signal, RF ................. 5.to-l4-5.10-19
Telephone Accessory Circuits .......................... 4.7-30
Translators .......................................... 3.1-38
Telephone Accessory Circuits - Audio Amplifiers ......... 4.7-30
Transmitter Functions, RF .................... 5.10-22-5.10-24
Telephone Line Interface .............................. 4.7-24
Transmitters-AM/FM/FSK ............................. 4.7-3
Telephone Speech Network with Dialer Interface .......... 4.7-21
Triac Phase Angle Controller ........................... 4.3-11
Terminators, SCSI Bus ................................ 3.1-36
TRIACs, Thyristors .................................... 5.7-7
Third Party Developers for 68HC05 and 68HC705
Triple 8-Bit ND Converter .............................. 4.8-8
Family MCUs ....................................... 2.5-19
Triple 8-Bit D/A Converter .............................. 4.8-7
Third-Party Development Tools ......................... 2.6-27
Triple-Layer Metal ............................... 1.1-1,1.1-3
Third-Party Support ................................... 2.6-27
TTL ................................................. 3.1-2
300 Baud FSK Modems ............................... 4.7-31
Tuner PLL Circuits ..................................... 4.8-4
Thyristors, High Voltage Bidirectional TVS Devices ........ 5.7-14
TV Decoder .......................................... 4.8-3
Thyristors, Programmable Unijunction Transistors ......... 5.7-14
TV IF Circuits ......................................... 4.8-3
Thyristors, SIDACs ................................... 5.7-14
TV Picture-in-Picture .................................. 4.8-3
Thyristors, Silicon Bidirectional Switch ................... 5.7-14
TV Transmitters, RF ................................. 5.10-29
Thyristors, Silicon Controlled Rectifiers ................... 5.7-2
TVSlZeners .......................................... 5.2-1
Thyristors, SOT-223 ............................. 5.7-2,5.7-7
TVSlZeners, DQ-204AA (DO-7) ....................... 5.2-31
Thyristors, TQ-220AB ........................... 5.7-4, 5.7-8
TVS/Zeners, DQ-204AH (D0-35) ............... 5.2-16,5.2-31
Thyristors, TQ-225AA ........................... 5.7-3,5.7-7 TVS/Zeners, SMA .................................... 5.2-21
Thyristors, TQ-226AA (TQ-92) .................... 5.7-2, 5.7-7 TVS/Zeners, 5MB .................................... 5.2-21
Thyristors, TRIACs, General Purpose .................... 5.7-7 TVS/Zeners, SMC .................................... 5.2-13
Thyristors, Triggers ................................... 5.7-14 TVS/Zeners, SOD-123 ......................... 5.2-21,5.2-26
Time Processor Unit(TPU) ............................ 2.6-12 TVS/Zeners, SOT-23 .................... 5.2-9,5.2-21, 5.2-24
Timer ................................................ 2.6-2 2-Wire ISDN Universal Digital Loop Transceiver II (UDLT II) 4.7-19
TimerModule(TM) ................................... 2.6-13 2-Wire Universal Digital Loop Transceiver (UDLT) ........ 4.7-18
Timers .............................................. 2.6-12
Timing Circuits ....................................... 4.10-2 u
TMOS MOSFETs, Small Signal .................. 5.1-21,5.1-41 U-Interface Transceiver Evaluation Kit .................. 4.7-17
TMOS Power MOSFETs ................................ 5.4-1 UHF Transistors, RF ........................... 5.10-7-5.10-8
TMOS Power MOSFETs Numbering System .............. 5.4-2 UHF, FM/AM Transmitter .............................. 4.7-10

Motorola Master Selection Guide 7.2-7 General Index


General Index
U (continued) v (continued)
Ultrafast Rectifiers .................................... 5.6-10 Voltage Controlled Oscillator ..•...................•.... 3.1--39
Undervoltage Sensing Circuit .......................... 4.2-19 Voltage References Package Overview ................... 4.4-2

Universal Cordless Phone Subsystem ICs ................ 4.7--3 Voltage Regulator/Supervisory .......................... 4.2-,.5
Voltage Regulators .................................... 4.9-2
Universal Cordless Telephone Subsystem IC .............. 4.7-4
Universal Cordless Telephone Subsystem IC with Scrambler 4.7-5 w
Universal Microprocessor Power Supply Controllers ....... 4.2-15
Wideband (FM/FSK) IFs ................................ 4.7-2
Universal Motor Speed Controller ....................... 4.3-10
Wideband FM IF Subsystem ............................ 4.7-9
Universal Voltage Mon~or ............................. 4.2-20 Wideband FM IF System ............................... 4.7-8
Wideband Single Conversion Receivers - VHF ............ 4.7-2
v
VCO ................................................ 3.1--39
x
Very High Voltage Single-Ended Controller with XNOR Gates ........................................ 3.1-27
OrK:;hip Power Switch .............................. 4.2-11 XOR Gates .......................................... 3.1-27
VHF Transistors, RF ........................... 5.10-6-5.10-7
Video Amplifiers, RF ................................. 5.10--35
z
VidElo Capture Chip Sets ............................... 4.8--3 Zener Diodes, Axial Leaded for Through-hole
Designs ..................................... 5.2-2,5.2-16
Video Circuits . . . . . . . . . . . .. . . . . . . . . .. . . . . . . . . . . . . . . . . . . 4.8--3
Zener Diodes, Current Regulator .........•............. 5.2--31
Video Circuits - Miscellaneous .......................... 4.8-4 Zener Diodes, Surmetic 30 ............................ 5.2-18
Video Data Converters ................................. 4.8-4 Zener Diodes, Voltage Reference ....................... 5.2--31
Voice Switched Speakerphone Circuit ..... 4.7-25, 4.7-26, 4.7-28 Zener Diodes, Voltage Regulator ...................•... 5.2-16
Voice Switched Speakerphone with I!Processor Interface .. 4.7-27 Zero Voltage Controller ................................. 4.3--3
Voice/Data Communication (Digital Transmission) ........ 4.7-18 Zero Voltage Switch ................................... 4.3-2

General Index 7.2-8 Motorola Master Selection Guide


Subject Index
A B (continued)

Amplifiers Bipolar Power Transistors (continued)


RF ............................................. 5.10-26 TO-220AB ......................... . ........... 5.5-3
High Power T0-225AA ...................................... 5.5-8
Land Mobile/Portable ................. 5.10-28-5.10-29 TO-247 . . . . ... 5.5-7
TV Transmitters .............................. 5.10-29 T0-264 . ............... . . ....... " . 5.5-8
Integrated Power ............................... 5.10-23
Low Power
c
CATV Distribution .................... 5.10-30-5.10-33 Communication Circuits ........•••••......•.•........ 4.7-1
CRT Drivers ................................. 5.10-35 Communications Circuits Package Overview .......... 4.7-39
Video......................... .. .. 5.10-35 Electronic Telephone ............................... 4.7-19
Fiber Optic Receivers ......................... 5.10-35 The Complete Electronic Telephone Circuit ......... 4.7-19
Amplifiers and Comparators .•.•...•..••........•••..• 4.1-1 ISDN Voice/Data Circuits ........................... 4.7-15
Amplifiers and Comparators Package Overview ........ 4.1-8 Dual Data Link Controller.............. '" 4.7-17
Comparators ....................................... 4.1-7 Integrated Services Digital Network ................ 4.7-15
Dual ............................................ 4.1-7 Second Generation SIT-Interlace Transceivers ..... 4.7-16
Bipolar ....................................... 4.1-7 Second Generation U-Interlace Transceivers ....... 4.7-16
CMOS ........................................ 4.1-7 U-Interlace Transceiver Evaluation Kit ............. 4.7-17
Narrowband FM Coilless Detector IF Subsystem ........ 4.7-7
Quad ........................................... 4.1-7
Narrowband FM Receiver ........................... 4.7-6
Bipolar ....................................... 4.1-7
Phase-Locked Loop Components ................... 4.7-36
CMOS ........................................ 4.1-7
Phase-Locked Loop Functions .................... 4.7-37
Single .......................................... 4.1-7
PLL Frequency Synthesizers ..................... 4.7-36
Bipolar........... . ............... 4.1-7
RF Communications ................. . ............. 4.7-2
CMOS ........................................ 4.1-7
Balanced Modulator/Demodulator .................. 4.7-4
High Frequency Amplifiers ........................... 4.1-5
Infrared Transceivers ............................. 4.7-4
AGC Amplifiers .................................. 4.1-5
Narrowband Dual Conversion Receivers
Family Wideband General Purpose ............... 4.1-5 - FM/FSK - VHF ............................... 4.7-3
Miscellaneous Amplifiers ............................ 4.1-6 Narrowband Single Conversion Receivers - VHF ..... 4.7-2
Bipolar .......................................... 4.1-6 Transmitters - AM/FM/FSK ........................ 4.7-3
Dual Operational Amplifier and Dual Voltage Universal Cordless Phone Subsystem ICs ........... 4.7-3
Comparator .................................. 4.1-6 Wideband (FM/FSK) IFs .......................... 4.7-2
CMOS .......................................... 4.1-6 Wide band Single Conversion Receivers - VHF ....... 4.7-2
Dual Programmable Operational Amplifier Speakerphones ................................... 4.7-25
and Dual Programmable Comparator ............ 4.1-6 The Motorola Family of Speakerphone
Dual Video Amplifiers ........................... 4.1-6 Integrated Circuits .................... . ....... 4.7-29
Quad Programmable Operational Amplifier ........ 4.1-6 Voice Switched Speakerphone Circuit ............. 4.7-25,
Operational Amplifiers ............................... 4.1-2 4.7-26, 4.7-28
Dual Operational Amplifiers ........................ 4.1-3 Voice Switched Speakerphone
Quad Operational Amplifiers ....................... 4.1-4 with fLProcessor Interlace ....................... 4.7-27
Single Operational Amplifiers ...................... 4.1-2 Speech Networks ................................. 4.7-21
Automotive Electronic Circuits ........................ 4.9-1 Cordless Universal Telephone Interlace ............ 4.7-22
Alternator Voltage Regulator ........................ 4.9-11 Programmable Telephone Line Interlace Circuit
with Loudspeaker Amplifier ...................... 4.7-23
Automotive Direction Indicator ........................ 4.9-8
Telephone Line Interlace ......................... 4.7-24
Automotive Electronic Circuits Package Overview ...... 4.9-12
Telephone Speech Network with Dialer Interlace ..... 4.7-21
Automotive ISO 9141 Serial Link Driver ............... 4.9-10
Telecommunications ............................... 4.7-11
Automotive Wash Wiper Timer ....................... 4.9-9
PBX Architecture (Analog Transmission) ........... 4.7-12
Electronic Ignition .................................. 4.9-2
Dual Tone Multiple Frequency Receiver. . . . . . . .. 4.7-15
High Side TMOS Driver ............................. 4.9-7 PCM Mono-Circuits Codec-Filters (CMOS LSI) ... 4.7-12
Low Side Protected Switch .......................... 4.9-6 Subscriber Loop Interlace Circuit (SLlC) ............ 4.7-11
MI-Bus Interlace Stepper Motor Controller ............. 4.9-8 Telephone Accessory Circuits ....................... 4.7-30
Octal Serial Switch ................................. 4.9-5 300 Baud FSK Modems .......................... 4.7-31
Quad Fuel Injector Driver ............................ 4.9-4 ADPCM Transcoder ............................. 4.7-31
Special Functions ................. . .. 4.9-3 Audio Amplifier .................................. 4.7-30
Voltage Regulators ................................. 4.9-2 Calling Line 10 Receiver Evaluation Kit ............. 4.7-32
Calling Line Identification (CLIO) Receiver
B with Ring Detector ............................. 4.7-32
Continuously Variable Slope Delta (CVSD)
Bipolar Power Transistors ...•.••..................... 5.5-2 Modulator/Demodulator ......................... 4.7-33
Audio.................................. . ..... 5.5-15 Current Mode Switching Regulator ................. 4.7-30
Audio/Servo Loads ................................ 5.5-15 Summary of Bipolar Telecommunication Circuits ..... 4.7-34
DPAK-Surlace Mount .............................. 5.5-10 Tone Ringers ..................................... 4.7-20
Electronic Lamp Ballasts ........................... 5.5-16 UHF, FM/AM Transmitter ........................... 4.7-10
Metal........ . ................................ 5.5-11 Universal Cordless Telephone Subsystem IC ........... 4.7-4
Plastic Universal Cordless Telephone Subsystem IC
T0-218 .... . .................................. 5.5-6 with Scrambler ................................... 4.7-5

Motorola Master Selection Guide 7.2-9 Subject Index


Subject Index
C (continued) D (continued)
Communication Circuits (continued) Development Tools
Voice/Data Communication (Digital Transmission) ...... 4.7-18 Digital Signal Processors ............................. 2.1-1
2-Wire ISDN Universal Digital Loop Transceiver II Design-In Software .............................. 2.1-12
(UDLT II) ..................................... 4.7-19 LonWorks Products ........................... 2.7-1.2.7-2
2-Wire Universal Digital Loop Transceiver (UDLT) ... 4.7-18 Developer's Workbench ........................... 2.7-6
Wideband FM IF Subsystem ......................... 4.7-9 Support Tools .................................... 2.7-7
Wideband FM IF System ............................ 4.7-8 Discrete Products .................................... 5.0-1
Communications, Power and Signal RF .............................................. 5.10-1
Technologlaa Group •••••••••••••••••••••••••••••••• 5.0-1 D0-204AA (D0-7)
Consumer Electronic Circuits ••••••••••••••••••••••••• 4.8-1 Tuning and Switching Diodes, Small Signal .... 5.1-25,5.1-31
Consumer Electronic Circuits Package Overview ...... 4.8-25 Zener Diodes ..................................... 5.2-31
Entertainment Radio Receiver Circuits ................ 4.8-2 DO-204AH (00-35), Zener Diodes ••.•..•.•.... 5.2-16,5.2-31
Audio Amplifiers .................................. 4.8-2
QPAK .................................. 5.4-10,5.5-10,5.6-5
C-Quam AM Stereo Decoders ..................... 4.8-2
Bipolar Power Transistors .......................... 5.5-10
Entertainment Receiver RFIIF ...................... 4.8-2
Rectifiers ................................... 5.6-5,5.6-10
Video Circuits ...................................... 4.8-3
TMOS Power MOSFETs .............. 5.4-10, 5.4-18. 5.4-20
Advanced Comb Filter-II (ACF-II) ................. 4.8-14
Advanced Multistandard TV Video/Sound IF ........ 4.8-10 E
Advanced NTSC Comb Filter ..................... 4.8-13
Advanced PALINTSC Encoder .................... 4.8-24 Evaluation Boards, RF Monolithic
Closed-Caption Decoder ......................... 4.8-15 Integrated Circuits ................................ 5.10-20
Comb Filters ..................................... 4.8-3 E;ZFETs .............................................. 5.4...Q
Deflection ....................................... 4.8-3 Power MOSFETs ................................... 5.4-9
Digitally Controlled Video Processor
for Multimedia Applications ....................... 4.8-6 F
Encoders ....................................... 4.8-3
Fiber Optic Receivers, RF ........................... 5.10-35
Enhanced Closed-Caption Decoder ............... 4.8-16
Miscellaneous ................................... 4.8-4 Field Programmable Gate Arrays (FPGA) ...•........... 3.1-5
Modulator ....................................... 4.8-4 Fleld-SffectTranslstors ••••••••.••••..... 5.1-1,5.1-19,5.4-1
Monitor Subsystem ............................... 4.8-4 JFETs, Small Signal ............................... 5.1-19
Multistandard Videommebase Processor ........... 4.8-12 MOSFETs, Small Signal ..................... 5.1-21.5.1-41
Picture-ill-Picture (PI P) Controller ................. 4.8-11 Power MOSFETs ................................... 5.4-1
PLL Tuning Circuit with 3-Wire Bus ................ 4.8-22 Surface Mount FETs, Small Signal ................... 5.1-22
PLL Tuning Circuit with 12C Bus ..... 4.8-20, 4.8-22, 4.8-23
PLL Tuning Circuits with 3-Wire Bus ............... 4.8-19 G
PLL Tuning Circuits with 12C Bus .................. 4.8-21
GaAs Rectifiers Power Manager .•.•••.••............. 5.6-14
Sound .......................................... 4.8-4
Triple 8-Bit AID Converter ......................... 4.8-8 H
Triple 8-Bit D/A Converter ......................... 4.8-7
Tuner PLL Circuits ............................... 4.8-4 HDTMOS Power MOSFETs
TV Decoder ..................................... 4.8-3 EZFET ..................................... 5.4-4,5.4-17
TV IF Circuits .................................... 4.8-3 High Power
TV Picture-in-Picture ............................. 4.8-3 D2pAK ......................................... 5.4-3
Video Capture Chip Sets .......................... 4.8-3 DPAK ........................................... 5.4-3
Video Data Converters ............................ 4.8-4 T0-220 ........................................ , 5.4-3
Converters, Digital Signal Processors ••..•.•...•••••••. 2.1-1 Micro8 ..................................... 5.4-4.5.4-17
Analog-To-Digital .................................. 2.1-10 S0-8 ............................................. 5.4-4
High Cell Density (Power MOSFETs) .•..•••••.•••••.... 5.4-3
D Hybrid Power Modules ................................ 5.3-2
Integrated Power Stage IGBTs ....................... 5.3-2
D2PAK ....................................... 5.4-11,5.8-5
Rectifiers ................................... 5.6-5,5.6-10
TMOS Power MOSFETs .............. 5.4-11,5.4-19,5.4-21
D3PAK ............................................. 5.4-12 IGBTs ......................................... 5.3-2,5.4-7
TMOS Power MOSFETs ............................ 5.4-12 Hybrid Power Modules .............................. 5.3-2
Power MOSFETs ............................ 5.4-7.5.4-22
Data Conversion ..................................... 4.5-1
A-D Converters .................................... 4.5-2 Ignition IGBTs ........................................ 5.4-7
Bipolar .......................................... 4.5-2 TMOS Power MOSFETs ...................... 5.4-7.5.4-22
CMOS .......................................... 4.5-2 Insulated Gate Bipolar Transistors .••............ 5.3-2, 5.4-7
Sigma-Delta .................................... 4.5-2 Integrated Power Stage IGBTs, Hybrid Power Modules .. 5.3-2
D-A Converters .................................... 4.5-3 TMOS ............................................ 5.4-7
CMOS ....•..................................... 4.5-3 Integrated Circuits, MonOlithic
Sigma-Delta .................................... 4.5-3 RF ..................................... 5.10-20-5.10-25
Data Conversion Package Overview ..•............... 4.5-4 Evaluation Boards .............................. 5.10-20

Subject Index 7.2-10 Motorola Master Selection Guide


Subject Index
I (continued) M (continued)
Interface Circuits, Monolithic: (continued) Microcomputer Components (continued)
Receiver Functions ..................... 5.10-21-5.10-22 Modules ...................................... 2.8-4
Switching ..................................... 5.10-21 Synchronous .................................. 2.8-2
Transmitter Functions ................... 5.10-22-5.10-24 Microcontroller Development Tools ............ 2.5-14,2.6--22
Interface Circuits ••••.••••....•..•.•....••..••......•• 4.6-1 On-Line Help .............................. 2.5-22, 2.6--26
5.0 V, 200 M-BitlSec PR-IV Hard Disk PowerPC RISC Family .............................. 2.4-1
Drive Read Channel ............................... 4.6-5 MPC105 PCI Microprocessor ..................... 2.4-15
CMOS Display Drivers .............................. 4.6--9 MPC106 PCI Microprocessor ..................... 2.4-16
Display Drivers .................................. 4.6--9 MPC601 RISC Microprocessor ..................... 2.4-2
Functions ....................................... 4.6--9 MPC602 RISC Microprocessor ..................... 2.4-3
Enhanced Ethemet Transceiver ...................... 4.6--2 MPC603 RISC Microprocessor ..................... 2.4-3
Hex EIA-485 Transceiver with Three-State Outputs .... 4.6--4 MPC603e RISC Microprocessor .................... 2.4-6
High Perfonmance Decoder Driver/Sink Driver .......... 4.6--3 MPC604 RISC Microprocessor ..................... 2.4-9
Interface Circuits Package Overview ................. 4.6--10 MPC604e RISC Microprocessor .................... 2.4-9
ISO 8802-3 [IEEE 802.3]1 OBASE-T Transceiver ....... 4.6-3 MPC620 RISC Microprocessor .................... 2.4-13
Line Drivers ....................................... 4.6--7 Single-Chip Microcontrollers (MCU) ............. 2.5-1,2.6--1
EIA Standard .................................... 4.6--7 Configuration and Order Information
for MMDS/MMEVS ............................ 2.5-14
EIA-232-E/V.28 CMOS Drivers/Receivers ........... 4.6--8
Configuration and Order Information for Other Motorola
IEEE 802.3 Transceivers .......................... 4.6--8
DevelopmentTools (EVM/EVS/ICS) .............. 2.5-17
Line Transceivers ................................ 4.6--7
Configuration and Order Information
Peripheral Drivers ................................ 4.6--8 for Programmers .............................. 2.5-18
Line Receivers ..................................... 4.6--7 M6800 Series Microprocessors and Peripherals ..... 2.6-10
EIA Standard .................................... 4.6--7 M68300 Family ................................. 2.6--19
ReadlWrite Channel ................................ 4.6--8 M68HC05 CSIC Family ........................... 2.5-2
ReadlWrite Channels, Hard Disk Drive Read Channel ... 4.6--8 M68HC08 Family ................................ 2.5-13
M68HC11 Family ................................. 2.6--2
L M68HC16 Family ................................ 2.6--14
MC68HC05 Microcontrollers ....................... 2.5---6
Logic Integrated Circuits
Modular Microcontrollers ......................... 2.6--12
Motorola Logic Families, Which Is Best for You? ........ 3.1-1
One-Time Programmable (OTP) / Emulator MCUs ... 2.5-10
Programmable Logic ................................ 3.1-5
Third Party Developers for 68HC05 and 68HC705
Logic Level Power MOSFETs •••••••••••••••••• 5.4-20, 5.4-21 Family MCUs ................................. 2.5-19
Logic Literature Listing .............................. 3.1-88 Third-Party Support ................................ 2.6--27
Mini Mosorb ......................................... 5.2-2
M Transient Voltage Suppressors .. 5.2-2, 5.2-10, 5.2-12, 5.2-13
Medium Power MOSFETs, TMOS •••••••••••.••• 5.4-9,5.4-18 MiniMOS Products ............................ 5.4-8,5.4-17
Mfax - Touch-Tone FAX for Technical Data ••••••••.•••• 6.1-1 MOSFETs, Power, TMOS .............................. 5.4-1
MicroS Products ..................................... 5.4-8 Mosorb .............................................. 5.2-6
Transient Voltage Suppressors ....................... 5.2-6
Microcomputer Components
Motorola Programmable Array (MPA) ••.•••..•••••••••. 3.1-5
Fuzzy Logic ...................................... 2.6--26
M68000 Family Motorola SPS World Marketing Internet Server •...••.... 6.1-1
Coprocessors .................................... 2.2-9 Motorola Technical Training Courses •••••••.•..•••••.• 6.1-7
Data Communication Devices ..................... 2.2-10
Development Tools .............................. 2.2-12 o
DMA Controllers ................................. 2.2-9
Optoelectronic Devices •••.•••••.•.•••••••...•• 5.8-1, 5.8-10
Embedded Controllers ............................ 2.2-5 Optoisolators .......................... 5.8-1,5.8-2,5.8-5
Fiber Distributed Data Interface ................... 2.2-11 POWER OPTO Isolators ..................... 5.8-1, 5.8-10
General Purpose I/O ............................. 2.2-11
Optoisolators .................................. 5.8-1,5.8-2
Integrated Processors ............................ 2.2-7
Microprocessors ........................... 2.2-1, 2.2-2 Other Analog Circuits ................................ 4.10-1
Network Devices ................................. 2.2-9 Multipliers ........................................ 4.10-2
Software Support ................................ 2.2-12 Linear Four-Quadrant Multipliers .................. 4.10-2
M88000 RISC Family ............................... 2.3-1 Other Analog Circuits Package Overview ............. 4.10-4
CachelMemory Management Units ................. 2.3-3 Smoke Detectors (CMOS) .......................... 4.10-3
Microprocessors ................................. 2.3-2 Timing Circuits .................................... 4.10-2
Memory Products .................................. 2.8-1 Duals .......................................... 4.10-2
Singles ........................................ 4.10-2
Dynamic RAMs .................................. 2.8-5
HCMOS ...................................... 2.8--6 p
Modules ...................................... 2.8-5
Fast Static RAMs ................................ 2.8-2 Packaging Specifications, CPSTG
Application SpecHic ............................ 2.8-2 Adhesion Pull Tests ................................ 5.12-9
Async 12 to 35 ns 5 V Fast Static RAMs .......... 2.8-3 Fan Fold Box Styles ............................... 5.12-9
Async 8 to 25 ns 3.3 V Fast Static RAMs .......... 2.8-3 Reel Styles ...................................... 5.12-11

Motorola Master Selection Guide 7.2-11 Subject Index


Subject Index
P (continued) P (continued)
Packaging Specifications, CPSTG (continued) PowerlMotor Control Circuits (continued)
T0-92 EIA Radial Tape ............................ 5.12-7 Zero Voltage Switch .............................. 4.3-2
PFP-16 PowerlMotor Control Circuits Package Overview ....... 4.3-12
RF ............................................. 5.10-23 PowerPC RISC Microprocessors •.••••••••••..••..•..• 2.4-2
Power MOSFETs, TMOS ••••••••••••••.••••••.•••••••• 5.4-1 POWERTAP II, Rectifiers •••••••••••••••.•••••.• 5.6-'1,5.6-12
POWER OPTO Isolators •••••••••••••••••••••••• 5.8-1,5.8-10 Processors, Digital Signal Processors •••••••••••••••.• 2.1-1
Power Supply Circuits ................................ 4.2-1 16-Bit ....................................... 2.1-2,2.1--3
24-Bit ............................................. 2.1--3
Battery Management Circuits ....................... 4.2-21
32-Bit ............................................. 2.1-9
Battery Charger ICs ............................. 4.2-21
Peripherals ................................. 2.1-6,2.1-12
Battery Fast Charge Controller ......•........... 4.2-21
Power Supply, Battery Charger, Regulation
Control Circuit ............................... 4.2-22
R
Battery Pack ICs ................................ 4.2-23 Receiver Functions
1 to 4 Cells Lithium Battery Safety IC ..... 4.2-23, 4.2-24 RF ............................................. 5.10-21
Linear Voltage Regulators ........................... 4.2-2 1.5-2.2 GHz Front End ........................ 5.10-21
Adjustable Output ................................ 4.2-4 2.4 GHz Front End ............................. 5.10-22
Adjustable Output Regulators .................... 4.2-4 900 MHz Front End ............................. 5.10-21
Fixed Output .................................... 4.2-2 Rectifier Numbering System •••••••••••••••••••••••••• 5.6-2
Fixed Voltage Medium and Low
Rectifiers ............................................ 5.8-1
Dropout Regulators ........................... 4.2--3
Application Specific ................................. 5.6-3
Linear Voltage Regulators ....................... 4.2-2
Automotive Transient Suppressors ................. 5.6-3
MOSFETIIGBT Drivers ............................. 4.2-25
Low VF Schottky ................................. 5.6-3
High Speed Dual Drivers ......................... 4.2-25
MEGAHERTZ Rectifiers ........................... 5.6-3
Inverting •.................................... 4.2-25
SCANSWITCH Rectifiers .......................... 5.6-3
Noninverting ................................. 4.2-25
Fast Recovery .................................... 5.6-13
Single IGBT Driver .............................. 4.2-25
GaAs Rectifiers Power Manager ..................... 5.6-14
Power Supply Circuits Package Overview. . . . . . . . . . • .. 4.2-26
General Purpose Rectifiers ......................... 5.6-13
Special Regulators ................................. 4.2-5 SWITCH MODE Rectifiers ........................... 5.6-4
SCSI Regulator .................................. 4.2-8 Axial Lead Schottky .............................. 5.6-6
Voltage RegulatorlSupervisory ..................... 4.2-5 POWERTAP II ................................... 5.6-9
Special Switching Regulator Controllers .............. 4.2-15 Surface Mount Schottky ........................... 5.6-4
Dual Channel Controllers ......................... 4.2-15 T0-218 Types and T0-247 Schottky ............... 5.6-8
Power Factor Controllers .................. 4.2-15, 4.2-16 T0-220 Type Schottky ............................ 5.6-7
Universal Microprocessor Power Supply Controllers. . 4.2-15 Ultrafast Rectifiers ................................. 5.6-10
Supervisory Circuits ............................... 4.2-18 Axial Lead ...................................... 5.6-10
OverlUndervoltage Protection Circuit ............... 4.2-18 POWERTAP II .................................. 5.6-12
Overvoltage Crowbar Sensing Circuit .............. 4.2-18 Surface Mount •................................. 5.6-10
Undervoltage Sensing Circuit ..................... 4.2-19 T0-218Types .................................. 5.6-12
Universal Voltage Monitor ........................ 4.2-20 T0-220Type ................................... 5.6-11
Switching Regulator Control Circuits .................. 4.2-9 T0-247 ........................................ 5.6-12
Doubl~EndedControliers ........................ 4.2-12
RF Producta ........................................ 5.10-1
High Voltage Switching Regulator .......... 4.2-13, 4.2-14
Singl~Ended Controllers ••...•.•................. 4.2-9
Singl~Ended Controllers with On-Chip
s
Power Switch ................................. 4.2-11 SC-69
Very High Voltage Singl~Ended Controller Bipolar Transistors, Small Signal .................... 5.1-11
with On-Chip Power Switch ..................... 4.2-11 Switching Diodes, Small Signal ...................... 5.1--35
Voltage RegulatorlSupervisory SC-70/S0T--323
GaAs Amplifier Supervisory Circuit ................. 4.2-7 Bipolar Transistors, Small Signal •.•...•............. 5.1-11
Low Dropout Regulator ........................... 4.2-6 Hot-Garrier (Schottky) Diodes, Small Signal .......... 5.1--33
Microprocessor Voltage Regulator RF ..................................... 5.10-17-5.10-18
and Supervisory Circuit .......................... 4.2-6 Switching Diodes, Small Signal ...................... 5.1--35
Power Management Controller ..................... 4.2-7 Tuning Diodes, Small Signal ........................ 5.1--30
PowerlMotor Control Circuits ••••••••••••••••••••••••• 4.3-1 VHFIUHF Amplifiers, Mixers, OSCillators, Small Signal .. 5.1-13
Motor Controllers ................................... 4.3-4 Semlcustom Application Specific
Brushless DC Motor Controllers .................... 4.3-4 Integrated Circuits ........................... 1.0-1,1.1-1
Closed Loop Brushless Motor Adapter .............. 4.3-7 Advanced Packaging ............................... 1.1-7
DC Servo Motor ControlierlDriver ..............•..•. 4.3-8 MicroCool Quad Flat Pack. . . . . . . . . . . . . . . . . . . . . . . .. 1.1-7
Stepper Motor Driver ............................. 4.3-9 Over-Molded Pad Array Carrier (OMPAC) ........... 1.1-7
Triac Phase Angle Controller ...................... 4.3-11 Quad Flat Pack Molded Carrier Ring (QFP-MCR) .... 1.1-7
Universal Motor Speed Controller .................. 4.3-10 ASIC Preview ...................................... 1.1-1
Power Controllers .................................. 4.3-2 ASIC Regional Design Centers - United States ........ 1.1-8
High-Side Driver Switch .......................... 4.3-4 ASIC Regional Design Centers -International ......... 1.1-8
Zero Voltage Controller ........................... 4.3--3 Bipolar ............................................ 1.1-2

Subject Index 7.2-12 Motorola Master Selection Guide


Subject Index
S (continued) S (continued)
Semicustom Application Specific SOT-143
Integrated Circuits (continued) RF .................... 5.10-17-5.10-19,5.10-21-5.10-22
ECl & ETl Series Arrays ......................... 1.1-2 SOT-223
ETl Series Arrays Extend Design Flexibility. . . . . . . . .. 1.1-2 Bipolar Transistors, Small Signal .................... 5.1-15
ETl Series Features Mixed ECl-TTl Interface ....... 1.1-2 FETs, Small Signal ......................... 5.1-23,5.1-41
Third Generation ................................. 1.1-2 Thyristors ......................................... 5.7-2
CMOS ............................................ 1.1-3 Tuning and Switching Diodes, Small Signal ........... 5.1-31
1.0 Micron CMOS HDC Series ..................... 1.1-3 SOT-23
CDA Architecture ................................ 1.1-4 Bipolar Transistors, Small Signal .................... 5.1-10
Mixed 3.3 V/5.0 V levels .......................... 1.1-5 FETs, Small Signal ......................... 5.1-22,5.1-41
Sub-Micron CMOS H4C Series .................... 1.1-4 Hot-Carrier (Schottky) Diodes, Small Signal ... 5.1-32, 5.1-33
Sub-Micron CMOS H4CPlus Series ................. 1.1-5 RF ............................ 5.10-16,5.10-18-5.10-19
Triple-layer Metal ................................ 1.1-3 Switching Diodes, Small Signal ............... 5.1-36,5.1-41
Design Automation Software ......................... 1.1-6 Tuning Diodes, Small Signal ........................ 5.1-27
OACS 2.2 and 3.1 M Features ............... : ...... 1.1-6 TVS/Zeners ................................ 5.2-9, 5.2-24
Open Architecture CAD System .................... 1.1-6 Surface Mount Information, CPSTG
Literature .......................................... 1.1-8 F00'Erints for Soldering
Sensors •.•••.••••••••••••••••••••••••••••.••.••••... 5.9-1 D PAK ........................................ 5.11-5
Acceleration ...................................... 5.9-10 D3PAK ........................................ 5.11-5
Pressure .......................................... 5.9-2 DPAK .......................................... 5.11-5
Compensated and Calibrated ................ 5.9-6,5.9-7 MICR08 ....................................... 5.11-5
High Impedance ........................... 5.9-6, 5.9-9 OPTO S0-8 .................................... 5.11-5
Signal Conditioned ......................... 5.9-6, 5.9-6 SC-59 ......................................... 5.11-5
Uncompensated ........................... 5.9-6,5.9-7 SC-70/S0T-323 ................................ 5.11-5
Silicon Controlled Rectifiers •...••••.•••.••.••••.•.••. 5.7-2 SMA ........................................... 5.11-5
Thyristors ......................................... 5.7-2 5MB ........................................... 5.11-5
SMC .......................................... 5.11-5
SMA .......•.. _••.•.•.•.•••......••..••...•.•.•..... 5.2-21
SO-14 ......................................... 5.11-5
TVS/Zeners ...................................... 5.2-21
SO-16 ......................................... 5.11-5
Small Signal Greenline Devices •••••••••..••.••••.••• 5.1-40 SO-8 .......................................... 5.11-5
Small Signal Transistors ...........••.••..•..••• 5.1-1, 5.1-2 SOD-123 ...................................... 5.11-5
Bipolar Transistors ........................... 5.1-2, 5.1-40 SOT-143 ...................................... 5.11-5
Metal-Can ..................................... 5.1-17 SOT-223 ...................................... 5.11-5
Plastic-Encapsulated ......... 5.1-2,5.1-8,5.1-10,5.1-40 SOT-23 ....................................... 5.11-5
Small Signal Tuning and Switching Diodes ...... 5.1-1,5.1-24 Using Surface Mount Packages ..................... 5.11-2
Abrupt Junction ................................... 5.1-24 Surface Mount Products, CPSTG •• 5.1-9,5.2-9,5.4-8,5.5-10,
Hot Carrier (Schottky) .............................. 5.1-32 5.6-4,5.8-5,5.8-9,5.10-8
Hyper-Abrupt Junction ............................. 5.1-28 Bipolar Power Transistors .......................... 5.5-10
Multiple Switching ................................. 5.1-38 DPAK .......................................... 5.5-10
Switching .................................. 5.1-34,5.1-41 Optoisolators ................................ 5.8-5, 5.8-9
6-Pin Devices ................................... 5.8-5
SMARTDISCRETES Products •••••••.•••.••.••••.••••. 5.4-7
S0-8 ........................................... 5.8-9
Ignition IGBTs ...................................... 5.4-7
Rectifiers .......................................... 5.6-4
T0-220AB ........................................ 5.4-7
D2pAK .................................. 5.6-5,5.6-10
5MB DPAK .................................... 5.6-5,5.6-10
Rectifiers ................................... 5.6-4,5.6-10 5MB ..................................... 5.6-4,5.6-10
TVS/Zeners ............................... 5.2-10,5.2-21 SMC .................................... 5.6-4,5.6-10
SMC RF .............................................. 5.10-8
Rectifiers ................................... 5.6-4, 5.6-10 PFP-16 ....................................... 5.10-23
Transient Voltage Suppressors ...................... 5.2-13 SC-70/S0T-323 ....................... 5.10-17-5.10-18
So-14 SO-16 ................................ 5.10-21-5.10-25
Multiple Switching Diodes, Small Signal .............. 5.1-39 SO-8 ......................... 5.10-8,5.10-17-5.10-25
SOT-143 ............ 5.10-17-5.10-19,5.10-21-5.10-22
So-16
SOT-23 ..................... 5.10-16,5.10-18-5.10-19
Bipolar Transistors, Small Signal ..................... 5.1-9
TSSOP-16 ........... 5.10-21-5.10-22,5.10-24-5.10-25
Multiple Switching Diodes, Small Signal .............. 5.1-39
TSSOP-20 .................................... 5.10-22
RF ..................................... 5.10-21-5.10-25
Small Signal ....................................... 5.1-9
So-a SC-59 ........................... 5.1-11,5.1-35,5.1-41
Optoisolators ...................................... 5.8-9 SC-70/S0T-323 ........... 5.1-11,5.1-13,5.1-35,5.1-41
RF ............................. 5.10-8,5.10-17-5.10-25 SO-I6 .......................................... 5.1-9
Thyristors ........................................ 5.7-14 SOD-123 ...................................... 5.1-41
TMOS Power MOSFETs ...................... 5.4-8,5.4-17 SOT-223 ............................... 5.1-16,5.1-23
SOD-123 SOT-23 ................. 5.1-10,5.1-22,5.1-35,5.1-36
Rectifiers .......................................... 5.6-4 Thyristors, SOT-223 ......... , ...................... 5.7-7
TVSlZeners ............................... 5.2-21,5.2-26 TMOS Power MOSFETs ............................. 5.4-8

Motorola Master Selection Guide 7.2-13 Subject Index


Subject Index
S (continued) T (continued)
Surface Mount Products, CPSTG (continued) TO-116 (continued)
D2PAK .......................... 5.4-11,5.4-19,5.4-21 Multiple Switching Diodes, Small Signal .....•........ 5.1--39
D3PAK ........................................ 5.4-12 T0-205AD (T0-39) .................................. 5.1-17
DPAK ............................ 5.4-10,5.4-18,5.4-20 Bipolar TranSiStors, Small Signal .................... 5.1-17
So-a .................................... 5.4-8,5.4-17 T0-206AA (T0-18) •••••••• " ••••.••••••••• ~ ••••••••• 5.1-17
SOT-223 ......................... 5.4-9,5.4-18,5.4-20 Bipolar Transistors, Small Signal .................... 5.1-17
TVS/Zeners ....................................... 5.2-9
T0-218 .............................................. 5.6-8
SMA ........................................... 5.2-21
Rectifiers ................................... 5.6-8,5.6-12
5MB ........................................... 5.2-21
SMC .......................................... 5.2-13 T0-220 Types ........................................ 5.6-7
SOO-123 ............................... 5.2-21,5.2-26 Rectifiers ................................... 5.6-7,5.6-11
SOT-23 ...................... " ... 5.2-9, 5.2-21, 5.2-24 T0-220AB ................................... 5.4-13,5.4-19
Thyristors ................................... 5.7-4,5.7-8
Surmetlc30
TMOS Power MOSFETs .............. 5.4-13, 5.4-19, 5.4-21
Zener Diodes ..................................... 5.2-18
T0-225AA (TO-126) .................................. 5.7--3
Surmetlc40
Thyristors ................................... 5.7--3,5.7-7
Transient Voltage Suppressors ................ 5.2-4, 5.2-18
T0-226AA (TO-ll2)
Switching
Bipolar Transistors, Small Signal ..................... 5.1-2
RF ............................................. 5.10-21
JFETs, Small Signal ............................... 5.1-19
SWITCHMODE Rectifiers Thyristors ............................ 5.7-2,5.7-7,5.7-14
Axial Lead Schottky ................................. 5.6-6 TMOS MOSFETs, Small Signal ...................... 5.1-21
POWERTAP II ..................................... 5.6-9 Tuning and Switching Diodes,
Surface Mount Schottky ............................. 5.6-4 Small Signal ....................... 5.1-24, 5.1-27, 5.1--34
To-218 Types and To-247 Schottky .•.............•. 5.6-8 T0-226AC (T0-92)
To-220 Type Schottky .............................. 5.6-7 Hot-Carrier (Schottky) Diodes, Small Signal .......... 5.1-32
Tuning and Switching Diodes,
T Small Signal ....................... 5.1-26,5.1--30,5.1--35
Tape and Reel Options ............................... 4.11-1 T0-226AE (TO-ll2)
Analog MPQ Table ................................. 4.11-4 Bipolar Transistors, Small Signal ....... '.' ............ 5.1-3
Tape/Reel and Ammo Pack ....................... 4.11-4 TMOS MOSFETs, Small Signal ...................... 5.1-21
PLCC ....................................... 4.11-4 T0-236AB
SOIC ........................................ 4.11-4 Bipolar Transistors, Small Signal .................... 5.1-10
TQ-92 ............................ : .......... 4.11-4 FETs, Small Signal ................................ 5.1-22
Tape and Reel .................................... 4.11-2 Hot-Carrier (Schottky) Diodes, Small Signal ... 5.1--32, 5.1--33
Tape and Reel Specifications, CPSTG ••••••••••••••••• 5.12-2 Tuning and Switching Diodes,
Small Signal ....................... 5.1-30, 5.1-35, 5.1-41
Embossed Tape and Reel Data ...................... 5.12-4
Embossed Tape and Reel Ordering Information ........ 5.12--3 T0-247 ....................................... 5.4-15,5.6-8
Lead Tape Packaging Specifications Rectifiers ................................... 5.6-8,5.6-12
for Axial-Lead Components ....................... 5.12-6 TMOS Power MOSFETs ............................ 5.4-15
Technical Data and Literature ................... 6.1-2,6.1-6 T0-264 High Power Products ••••••••••••••.••.•••••• 5.4-18
TMOS Power MOSFETs ............................ 5.4-16
Technical Data Services
Mfax - Touch-Tone FAX ............................. 6.1-1 TO--3PBL ........................................... 5.4-16
Motorola SPS World Marketing Intemet Server ......... 6.1-1 TMOS Power MOSFETs ............................ 5.4-16
Semiconductor Data Update Magazine ................ 6.1-1 Transceivers, Digital Signal Processors .•..••...••.•.•. 2.1-1
Technical Training, Technical Training Centers •••••••• 6.1-11 Transient Voltage Suppressors •••••••••••••••••••••••• 5.2-2
Thyristors ........................................... 5.7-2 Axial Leaded for Through-hole Designs ....•.••..•.... 5.2-2
Silicon Controlled Rectifiers . . . . . . . . . . . . . . . . . . . . . . . . .. 5.7-2 Transistors, Discrete
General Purpose, Plastic .......................... 5.7-2 RF .............................................. 5.10-2
TRIACs ........................................... 5.7-7 Power Bipolar .......................... 5.10-6-5.10-13
General Purpose, Plastic .•.•...................... 5.7-7 1.5GHz .................................... 5.10-10
Triggers 900 MHz .............................. 5.10-8-5.10-9
High Voltage Bidirectional TVS Devices .........•.. 5.7-14 HF .......................................... 5.10-6
Programmable Unijunction Transistors ............. 5.7-14 Linear .............................. 5.10-12-5.10-13
SIDACs ........................................ 5.7-14 Microwave .......................... 5.10-10-5.10-11
Silicon Bidirectional Switch ....................... 5.7-14 UHF ................................. 5.10-7-5.10-8
TMOS MOSFETs .................................... 5.1-21 VHF .................................. 5.10-6-5.10-7
Small Signal ............................... 5.1-21,5.1-41 Power MOSFETs ......................... 5.10-4-5.10-5
Small Signal ........................... 5.10-14-5.10-19
TMOS Power MOSFETs ••••••••••••••••••••••••••••••• 5.4-1
Trsnsmltter Functions
TMOS Power MOSFETs Numbering System •••••••••••• 5.4-2
RF ............................................. 5.10-22
TMOS V ............................................. 5.4-6 1.5 - 2.2 GHz Transmit Chain .......•.... 5.10-24-5.10-25
TO-116 2.4 GHz Transmit Chain ......................... 5.10-25
Bipolar Transistors, Small Signal ..................... 5.1-9 900 MHz Transmit Chain •............... 5.10-23-5.10-24

Subject Index 7.2-14 Motorola Master Selection Guide


Subject Index
T (continued) U (continued)
TRIACs ......••..•.••..•••••.........•..•............ 5.7-7 Ultrafast Rectifiers (continued)
Thyristors ......................................... 5.7-7 Surtace Mount ........ 5.6-10
TSSOP-16 TO-218 Types. . ....... 5.6-12
RF .. ... . ..... 5.10-21-5.10-22,5.10-24-5.10-25 TO-220Type 5.6-11
TSSOP-20 TO-247 ... . ... 5.6··12
RF ............................................. 5.10-22
TVSlZeners ..••.......•.••........................... 5.2-1 v
Transient Voltage Suppressors ......... . . . . . .. 5.2-2 Video Amplifiers, RF ................................ 5.10-35
General-Purpose ................... . ... 5.2-2
Overvoltage Transient ... . . 5.2-15 Voltage References ................................... 4.4-1
Surtace Mount. . . . . . . . . . . . . . . . . . . . . . . . . ...... 5.2-9 Precision Low Voltage References . . . 4.4-2
Zener Diodes .......................... . . ... 5.2-16 Voltage References Package Overview ................ 4.4-2
Current Regulator ... . . . . . . . . . . . . . . . . . . . .. 5.2-31
Voltage Reference. . . . . . . . . . . . . . . . . . . . ..... 5.2-31 z
Voltage Regulator ............................... 5.2-16
Zener Diodes
Current Regulator ... 5.2-31
u Voltage Reference. . . . . . . ... 5.2-31
Ultrafast Rectifiers ••.......•.•..•••.•.•............. 5.6-10 Voltage Regulator ............ . ... 5.2-16
Axial Lead ............................. . .... 5.6-10 Axial Leaded for Through-hole Designs ...... 5.2-2, 5.2-16
POWERTAPII ......................... . . ... 5.6-12 Surtace Mount Packages. . . . . . . . . . .. 5.2-21

Motorola Master Selection Guide 7.2-15 Subject Index


Subject Index 7.2-16 Motorola Master Selection Guide
5/1/96

MOTOROLA AUTHORIZED DISTRIBUTOR & WORLDWIDE SALES OFFICES


NORTH AMERICAN DISTRIBUTORS

UNITED STATES FAI. . ..................... (408)434-0369 GEORGIA


Future Electronics ............ (408)434-1122 Atlanta
ALABAMA Santa Clara FAI ........... '" ........... (404)447-4767
Huntsville Wyle Electronics .........•.•. (408)727-2500 Time Electronics ........... 1-80(}-789-TIME
ArrowlSchwaber Electronics .... (205)837-6955
Sierra Madre Wyle Electronics ............. (404)441-9045
FAI .......................•. (205)837-9209
Future Electronics ............ (205)83(}-2322
PENSTOCK .......... " ..... (818)355-6775 Duluth
Hamitton/Hallmark ............ (205)837-8700
Sunnyvale Arrow/Schweber Electronics .... (404)497-1300
HamiJton/Hailmark ............ (408)435--3500 Hamilton/Hallmark ........... (404)623-4400
Newark ..................... (205)837-9091
lime Electronics ........... 1-80(}-789-TIME
PENSTOCK ................. (408)730-0300 Norcross
lime Electronics ........... 1-80(}-789-TIME Future Electronics ............ (770)441-7676
Wyle Electronics ............. (205)83(}-1119 Newark ... . . • . . . . . . . . . . . . . .. (770)448-1300
Thousand Oaks
ARIZONA Newark ..................... (805)449-1480 PENSTOCK ................. (770)734-9990
Phoenix Torrance Wyle Electronics .. . . . . . . . . . .. (770)441-9045
FAI ......................... (602)731-4661 lime Electronics ........... 1-800-789-TIME
Future Electronics ............ (602)968-7140
IDAHO
Tustin Boise
Hamitton/HaJlmark ............. (602)414-3000 Time Electronics ........... 1-80(}-789-TIME FAI ......................... (208)376-6080
Wyle Eleclronics ............. (602)804-7000
Woodland Hills ILLINOIS
Tempe Hamilton/Hallmark ............ (818)594-0404 Addison
Arrow/Schwaber Electronics .... (602)431-0030 Richardson Electronics ....... (615)594-5600 Wyle Laboratories . . . . . . . . . . .. (708)620-0969
Newark .. .................. (602)966-6340
COLORADO Bensenville
PENSTOCK ................. (602)967-1620
Lakewood HamittonIHaJlmark ............ (708)797-7322
lime Eleclronics ........... 1-60(}-789-TIME FAI ......................... (303)237-1400 Chicago
CALIFORNIA Future Electronics ............ (303)232-2008 FAI ......................... (708)843-0034
Agoura Hills Denver Newark Electronics Corp...... (312)784-5100
Future Eleclronics ............ (818)866-0040 Newark ..................... (303)373-4540 Hoffman Estates
lime Electronics Corporate ..• 1-60(}-789-TIME Englewood Future Electronics. . . . . . . . . . .. (708)882-1255
Belmont Arrow/Schweber Electronics .... (303)799-0258 Itasca
Richardson Electronics ......• (415)592-9225 Hamilton/Hallmark ........... (303)79(}-1662 Arrow/Schwaber Electronics ... (708)250-0500
Calabassas PENSTOCK ................. (303)799-7845 LaFox
Arrow/Schweber Electronics .•.. (818)88(}-9686 lime Electronics ........... 1-80(}-789-TIME Richardson Electronics ....... (708)208-2401
Wyle Electronics ............. (818)88(}-9000 Thornton Palatine
Chatsworth Wyle Electronics ............. (303)457-9953 PENSTOCK ................. (708)934-3700
lime Electronics ........... 1-60(}-789-TIME CONNECTICUT Schaumburg
Costa Mesa Bloomfield Newark .......... . . . . . . . . . .. (708)31 0-6980
HamittonIHaJlmark ............ (714)789-4100 Newark ..................... (203)243-1731 Time Electronics ........... 1-80(}-789-TIME
Culver City Cheshire INDIANA
Hamiltonll1allmark ..•......... (310)558-2000 FAI ......................... (203)250-1319 Indianapolis
Garden Grove Future Electronics ............ (203)250-0083 Arrow/Schweber Electronics .•.. (317)299-2071
Newark .......••.....•...... (714-893-4909 Hamilton/Hallmark ........... (203)271-2844 Hamilton/Hallmark ..••..•.... (317)575--3500
Irvine Southbury FAI ......................... (317)469-0441
Arrow/Schweber Electronics .•. (714)587-0404 Time Electronics ........... 1-80(}-789-TIME Future Electronics ............ (317)469-0447
FAI ......................... (714)753-4778 WalUngfort Newark ......•••..........•. (317)259-0085
Future Electronics ...•........ (714)453-1515 ArrowlSchweber Electronics .... (203)265--7741 Time Electronics .......•.•. 1-80(}-789-TIME
Wyle Laboratories Corporate .... (714)753-9953 FLORIDA Ft. Wayne
Wyle Electronics ............. (714)863-9953 Altamonte Springs Newark ..................... (219)484-0766
Los Angeles Future Electronics ............ (407)865-7900 PENSTOCK ...........•..... (219)432-1277
FAI ......................... (818)879-1234 Clearwater IOWA
Wyle Electronics ............. (818)88(}-9000 FAI ......................... (813)53(}-1665 Cedar Rapids
Man hattan Beach Future Electronics ............ (813)53(}-1222 Newark ..................... (319)393-3800
PENSTOCK ................. (310)546-8953 Deerfield Beach lime Electronics ........... 1-600-789-TIME
Mountain View ArrowlSchweber Electronics .... (305)429-8200 KANSAS
Richardson Electronics ....... (415)96(}-6900 Wyle Electronics ............. (305)420-0500 Kansas City
Newberry Park Ft. Lauderdale FAI ......................... (913)381-6800
PENSTOCK ................. (805)375--6680 FAI ......................... (305)428-9494 Lenexa
Palo Alto Future Electronics ..........•. (305)436-4043 ArrowlSchweber Electronics .••• (913)541-9542
Newark ...............•..... (415)812-8300 Hamilton/Hallmark ............ (305)484-5482 Hamilton/Hallmark ........... (913)663-7900
Riverside Newark ................ . . . .. (305)488-1151 Olethe
Newark .....•............... (909)784-1101 Time Electronics ........... 1-80(}-789-TIME PENSTOCK ................. (913)829-9330
Rocklin Lake Mary Overland Park
Hamilton/Hallmark ........•.. (916)632-4500 Arrow/Schweber Electronics .••. (407)333-9300 Future Electronics ...••••.•... (913)649-1531
Sacramento LargolTampaiSt. Petersburg Newark .... .. .. . .. . .. .. .. ... (913}677-0727
FAI ... " .....•..•.....•..... (916)762-7882 Hamitton/Hallmark ............ (813)547-5000 lime Electronics .......•... 1-80(}-789-TIME
Newark . . . . . . . . . . . • . . . • . . . .. (916)565--1760 Newark ......•.............. (813)287-1578 MARYLAND
Wyle Electronics ..•.......... (916)638-5282 Wyle Electronics ............. (813)575--3004 Baltimore
San Diego Time Electronics ........... 1-800-789-TIME FAI ......................... (410)312-0833
Arrow/Schweber Electronics ... (619)565-4800 Orlando Columbia
FAI ......................... (619)623-2888 FAI ...........•............. (407)865-9555 Arrow/Schweber Electronics .... (301}598-7800
Future Electronics ............ (619)625--2800 Tellahassee Future Electronics ............ (410}290-0600
Hamitton/HaJlmark ............ (619)571-7540 FAI ......................... (904)668-7772 Hamilton/Hallmark .....•..... (410}72(}-3400
Newark ..................... (619)453-8211 Tampa Time Electronics .•..•..•... 1-60(}-789-TIME
PENSTOCK ................. (619)623-9100 PENSTOCK .•....•.......... (813)247-7556 PENSTOCK .•...•.........•. (410)290-3746
Wyle Electronics ............. (619)565--9171 Winter Park Wyle Electronics ............. (410)312-4844
San Jose Hamilton/Hallmark .........•. (407)657-3300 Hanover
ArrowlSchweber Electronics •••• (408)441-9700 PENSTOCK •....•••.••..•... (407)672-1114 Newark ..................... (410)712-6922
Arrow/Schwaber Electronics ...• (408)428-6400 Richardson Electronics ....... (407)644-1453

For changes to this Information contact Technical Publications at FAX (602) 244-6560
6/1/96

AUTHORIZED DISTRIBUTORS - continued


UNITED STATES - continued NEW MEXICO Hamlfton/Hallmark •••.••.••.• (503)528-8200
Albuquerque Wyle Electronics ..•••.•. . . • .• (503)643-7900
MASSACHUSETTS Alliance Electronics •....•..•. (505)292-{3360 Portland
Boston HaminonlHailmark ..•...•••..• (505)82&-1058 FAI ......................... (503)297-5020
Arrow/Schweber Electronics •••• (508)651Hl900 Newark .. • . . . . • • • .. • • • .. • • •• (505)82&-1878 Newark ..................... (503)297-1984
FAI ......................... (508)779-{3111
NEW YORK PENSTOCK . . . . . . • . • . • • • • . •• (503)64&-1670
Bolton Bohemia 11me Electronics •••••••••.. 1-800-789-TIME
Future Corporete ............. (508)779-{3000 Newark ..................... (516)567-4200
Burlington PENNSYLVANIA
Hauppauge Coatesville
PENSiOCK ................. (617)229-9100 Arrow/SchWeber Electronics .... (516)231-1000 PENSTOCK ................. (610)383-9536
Wyle Electronics ............. (617)271-9953 Future Electronics • • . . • • . • • • •• (518)234-4000 Ft. Washington
Norwell HamiitonlHalimark ..••....••. (516)434-7400 Newark ..................... (215)654-1434
Richardson Electronics .•••••• (617)871-5162 PENSTOCK ....••...•.•.•••• (516)72,4-9580 Mt. Laurel
Peabody Konkoma Wyle Electronics •••... • . • • • •• (809)439-9110
11me Electronics ........... 1-800-789-TIME Hamilton/Hallmark ..•.•...••• (516)737-0600
HamiitoniHalimark .•..•..•... (508)532-9893
Montgomeryville
Melville Richardson Electronics •••...• (215)625-0805
Woburn Wyle Laboretories ••.•.••..•.. (516)293-8446 Philadelphia
Newark .. .. .. .. .. .. .. .. .. ... (617)935-8350 11me Electronics .......••.• 1-800-789-TIME
Pittsford
MICHIGAN Newark ..................... (716)381-4244 Wyle Electronics ....•.......• (609)439-9110
Detroit Rochester Pittsburgh
FAI ......................... (313)513-0015 Arrow/Schweber Electronics .... (716)427-0300 ArrowISChweber Electronics .... (412)963-8807
Future Electronics .......••••. (616)698-8800
Future Electronics ...••••..•.. (716)387-9550 Newark ..................... (412)78&-4790
Grand Rapids FAI ......................... (716)387-9600 11me Electronics ........... 1-800-789-TIME
Newark ..................... (616)954-6700
HaminonlHailmark ...•••...... (716)272-2740 TENNESSEE
Livonia Richardson Electronics ....... (716)264-1100 Franklin
Arrow/Schweber Electronics •... (810)455-0850
Time Electronics •.....••... 1-800-789-TIME Richardson Electronics ••..•.• (615)791-4900
Future Electronics ....•....... (313)261-5270
Hamilton/Hallmark ........... (313)416-5800 Rockville Centre Knoxville
Richardson Electronics ••..... (516)872-4400 Newark ..................... (615)588-6493
11me Electronics ........... 1-800-789-TIME
Syracuse TEXAS
Troy fAI ......................... (315)451-4405 Austin
Newark ..................... (810)583-2899 ArrowISchwaber Electronics .... (512)835-4180
Future Electronics ......•..... (315)451-2371
MINNESOTA Newark ..................... (315)457-4873 Future Electronics •••••••••••. (512)502-0991
Bloomington 11me Electronics ••••.•••••• 1-800-789-TIME FAI . .. .. . . .. . .. . . . . . . . . . . . .. (512)34&-6426
Wyle Electronics ••••••••••...•• (612)853-2280
NORTH CAROLINA Hamilton/Hallmark ••••••••••• (512)219-{3700
Burnsville Newark ..................... (512)33s-0287
PENSTOCK .................. (612)862-7630 Charlotte
FAI ......................... (704)548-9503 PENSTOCK ..•.............• (512)346-9762
Eden Pral rle Future Electronics .......•.... (704)547-1107 11me Electronics •••••••••.• 1-800-789-TIME
Arrow/Sctwieber Electronics •••. (612)941-5280
FAI ......................... (612)947-0909 Richardson Electronics ..•..•• (704)548-9042 Wyle Electronics ••.•••••••••• (512)833-9953
Future Electronics •••....•.••. (612)944-2200 Raleigh Benbrook
Arrow/Schweber Electronics .... (919)878-{3132 PENSTOCK ................. (817)249-0442
Hamilton/Hallmark ..........• (612)881-2600
FAI ......................... (919)875-0088 Carollton
11me Electronics ....•••.•.. 1-800-789-TIME
Future Electronics. . • • . • • • . • •. (919)790-7111 Arrow/Schweber Electronics .•.• (214)380-6464
Minneapolis
Newark ..................... (612)331-8350 Hamilton/Hallmark ........... (919)872-0712 Dallas
Newark ..................... (919)781-7677 FAI ......................... (214)231-7195
Earth City Future Electronics •.•••••••••• (214)437-2437
HamiitonlHalimark ..........• (314)291-5350 11me Electronics •..•.•.••.• 1-800-789-TIME
OHIO HamiHon/Halimark •...••.•••. (214)553-4300
MISSOURI
Centerville Newark ..................... (214)45&-2528
Sl Louis
Arrow/Schweber Electronics ••.. (314)567-8888 Arrow/Schweber Electronics .... (513)435-5563 Richardson Electronics •.•.••• (214)239-{3680
Future Electronics ...•.•..•... (314)469-8806 Cleveland 11me Electronics •••.••••••. 1-800-789-TIME
FAI ......................... (314)542-9922 FAI ......................... (216)445-0061 Wyle Electronics •....•...•... (214)236-9953
Newark .. .. .. .. .. .. .. .. .. ... (314)453-9400 Newark ..................... (216)391-9330 EI Paso
11me Electronics ........... 1-800-789-TIME 11me Electronics ........... 1-800-789-TIME FAI ......................... (915)577-9531
NEW JERSEY Columbus Ft. Worth
Newark ..................... (614)325-0352 Allied Electronics ••••••••••••• (817)33&-5401
Bridgewater
PEI'l'STOCK ................. (908)576-9490 11me Electronics ........... 1-800-789-TIME Houston
Arrow/Schweber Electronics ••.. (713)647-6868
Cherry HIli
HamilionIHailmark .•.......•.• (609)424-0110 D~~~~....................... (513)427-6090 FAI ......................... (713)952-7088
East Brunswick Future Electronics .•.•.••..... (513)425-0090 Future Electronics .•••••.••••• (713)785-1155
Newark ..................... (908)937-6600 Hamilton/Hallmark ••.••.•••.• (513)439-8735 Hamilton/Hallmark ........... (713)781-8100
Fairfield Newark .. .. .. .. .. .. .. .. .. ... (513)294-8980 Newark ..................... (713)894-9334
FAI ......................... (201)331-1133 Time Electronics ....••••••• 1-800-789-TIME 11me Electronics ••••••••••• 1-800-789-TIME
Mayfield Heights Wyle Electronics ••••••••••••• (713)879-9953
L~~P. ~~~~~~ ................. (516)348-{3700 Future Electronics ........•••. (216)449-8996 Richardson
Marlton Solon PENSTOCK ..•....•.....•... (214)479-9215
Arr<:JN/Schweber Electronics .... (609)596-8000 Arrow/Schweber Electronics •••• (216)248-{3990 San Antonio
FAI ......................... (609)98&-1500 Hamilton/Hallmark •.....••••• (216)49&-1100 FAI ......................... (210)738-{3330
Future Electronics • • . • • • • • • • •• (609)59&-4080 Worthington UTAH
Plnebrook Hamiltol1iHalimark ••••••••••• (614)888-{3313 Salt Lake City
Arrow/Schweber Electronics .•.. (201 )227-7880 OKLAHOMA Arrow/Schweber Electronics •••• (801 )973-6913
Wyle Electronics. . • • . . . • • • . • .. (201 )882-8358 Tulsa FAI ......................... (801)467-9696
Parsippany FAI ......................... (918)492-1500 Future Electronics •••••••••••• (801 )467-4448
Future Electronics .•...•....•• (201)299-0400 Hamilton/Hallmark •.•••....•• (918)459-8000 HamiHonIHailmark .•••..•.•••• (801)26&-2022
Hamilton/Hallmark ........... (201)515-1641 Newark ..................... (918)252-5070 Newark ••••••••••••••••••••• (801)261-5660
Wayne OREGON Wyle Electronics ••....... . . •. (801 )974-9953
11me Electronics .••.••••••• 1-800-789-TIME Beaverton West Valley City
Arrow/AJmac Electronics Corp. •• (503)629-8090 11me Electronics ••......•.. 1-800-789-TIME
Future Electronics . . . . . . . . . • .. (503)646-9454 Wyle Electronics ............. (801)974-9953

For changes to this Information contact Technical Publications at FAX (602) 244-6560
5/1/96

AUTHORIZED DISTRIBUTORS - continued


UNITED STATES -continued CANADA Mlsslssauga
ALBERTA PENSTOCT< ................. (905)403-0724
WASHINGTON Calgary Ottawa
Bellevue Electro Sonic Inc. ........... (403)255-9550 Arrow Electronics... ..... . (613)226-6903
Almac Electronics Corp. . ... ' (206)643-9992 FAI ........ .. ... (403)291-5333 Electro Sonic Inc............. (613)728--8333
Newark . . . . . . . . . . . . . . . . . . . .. (206)641-9800 BRITISH COLUMBIA FAI ..................... ... (613)820--8244
PENSTOCK ................. (206)454-2371 Future Electronics ............ (403)250-5550 Future Electronics ............ (613)820--8313
Richardson Electronics ....... (206)646-7224 Hammon/Hallmark ............ (800)663-5500 HamittoniHallmark ............ (613)226-1700
Bothell Edmonton Toronto
Future Electronics .....••.•.. , (206)489-3400 FAI ......................... (403)438-5888 Arrow Electronics ............ (905)670-7769
Redmond Future Electronics ............ (403)438-2858 Electro Sonic Inc. .. .......... (416)494-1666
Hamitton/Hallmark .........••. (206)882-7000 Hamilton/Hallmark ........... (800)663-5500 FAI ......................... (905)612-9888
Time Electronics ........... 1--800-789-TIME Saskatchewan Future Electronics ............ (905)612-9200
Wyle Electronics •............ (206)881-1150 Hamilton/Hallmark ........... (800)663-5500 HamittoniHallmark ............ (905)564-6060
Seattle Vancouver Newark ....... . .. .. .. .. .. ... (905)670-2888
FAI ......................... (206)485--8616 Arrow Electronics ............ (604)421-2333 Richardson Electronics ....... (905)795-6300
WyJe Electronics . . . . . . . . . . . . .. (206)881-1150 Electro Sonic Inc. ............ (604)273-2911 QUEBEC
WISCONSIN FAI ......................... (604)654-1050 Montreal
Brookfield Future Electronics ............ (604)294-;-1166 Arrow Electronics ............ (514)421-7411
ArrowlSchweber Electronics .... (414)792-0150 Hamilton/Hallmark ............ (604)420-4101 FAI ......................... (514)694-6157
Future Electronics ........... , (414)879-0244 MANITOBA Future Electronics ............ (514)694-7710
Wyle'Electronics ............. (414)521-9333 Winnipeg Hamitton/Hallmark ............ (514)335-1000
Milwaukee Electro S'onic Inc. ........... (204)783-3105 Richardson Electronics ....... (514)748-1770
FAI ......................... (414)792-9778 FAI ......................... (204)786-3075 Quebec City
Time Electronics ........... 1--800-789-TIME Future Electronics ............ (204)944-1446 Arrow Electronics ............ (418)687-4231
New Berlin Hamitton/Hallmark ............ (800)663-5500 FAI ......................... (418)682~5775
Hamilton/Hallmark ........... (414)780-7200 ONTARIO Future Electronics ............ (418)877-6666
Wauwatosa Kanata
Newark ..................... (414)453-9100 PENSTOCK ................. (613)592-6088

INTERNATIONAL DISTRIBUTORS
AUSTRALIA Avnet E2000 ............... (49) 89 4511001 Arrow Tahonic NS ............ (47)22378440
AVNET VSI Electronics (Australia)(61)2 878-1299 EBV Elektronik GmbH ....... (49) 89 99114-0 Avnet Nortec NS Norway ..... (47) 66846210
Veltek Australia Pty Ltd ..... (61)3 9574-9300 Future Electronics GmbH .... (49) 89-957270 PHILIPPINES
AUSTRIA Jermyn GmbH. ... . . . ... . . .. (49) 6431-5080 Alexan Commercial ......... (63) 2241-9493
EBV Austria ................. (43) 1 8941774 Newark .................... (49)2154-70011 SINGAPORE
Elbatex GmbH ................ (43) 1 866420 SascoSemiconductor ......... (49)89-46110 Future Electronics ............. (65) 479-1300
Spoerle Austria ............. (43) 1 31872700 Spoerle Electronic. . . . . . . . .. (49) 6103-304-0 Strong Pte. Ltd ............... (65) 276-3996
BELGIUM HOLLAND Uraco Technologies Pte Ltd ..... (65) 545-7811
Diode Spoerle .............. (32) 2 725 4660 EBV Holland .............. (31) 3465 623 53 SPAIN
EBV Belgium ............... (32) 2 716 0010 Diode Spoerle BV ............ (31) 4054 5430 Amitron Arrow .............. (34) 1 3043040
CHINA HONG KONG EBV Spain ................. (34) 1 804 32 56
Advanced Electronics Ltd. ... (852)2305-3633 AVNET WKK Components Ltd. (852)2357--8888 Selco S.A. .. ............... (34) 1 637 10 11
AVNET WKK Components Ltd. (852)2357--8888 Nanshing Clr. & Chern. Co. Ltd (852)2333-5121 SWEDEN
China EI. App. Corp. Xiamen Co INDIA Arrow-Th:s .................. (46) 8 362970
.. .. .. .. .. .. .. .. .. .. ... . (86)592 513-2489 Canyon Products Ltd ....... (91) 80 558-7758 Avnet Nortec AB .. .. ....... (46) 8 629 14 00
Nanco Electronics Supply Ltd. (852) 2 333-5121 INDONESIA SWITZERLAND
Qing Cheng Enterprises Ltd .. (852) 2 493-4202 P.T.Ometraco ............. (62) 21 619-6166 EBV Switzerland ............. (41) 1 7456161
DENMARK ITALY ElbatexAG ................. (41) 56 4375111
Arrow Exatec ............... (45) 44 927000 Avnet Adelsy SpA..... . ..... (39) 2 381901 Spoerle ..................... (41) 1 8746262
Avnet Nortec NS ............ (45) 44 880800 EBV Italy .................... (39) 2 660961 S.AFRICA
EBV Denmark ............... (45) 39690511 Silverstar SpA ............... (39) 2 66 12 51 Advanced . . .. .. . . . . . .. .. ... (27) 11 4442333
ESTONIA JAPAN Reuthec Components ....... (27) 11 8233357
Arrow Field Eesti . . . . . . .. . .... (372) 6503288 AMSC Co., Ltd ............. 81-422-54--8800 THAILAND
Avnet Baltronic ............... (372) 6397000 Fuji ElectroniCS Co., Ltd..... 81-3-3814-1411 Shapiphat Ltd ... (66)2221-0432 or 2221-5384
FINLAND Marubun Corporation ....... 81-3-3639--8951 TAIWAN
Arrow Field OY ............. (35) 80777571 Nippon Motorola Micro Elec. .. 81-3-3280-7300 Avnet-Mercuries Co., Ltd ... (886)2516-7303
Avnet Nortec OY ............. (35) 80613181 OMRON Corporation ....... 81-3-3779-9053 Solomon Technology Corp. ... (886)2788--8989
FRANCE Tokyo Electron Ltd .......... 81-3-5561-7254 Strong Electronics Co. Ltd... (886)2917-9917
Arrow Electronique .. . . • . .. (33) 1 49 78 49 78 KOREA UNITED KINGDOM
Avnet Components. . . . . . .• (33) 1 49 65 25 00 Jung Kwang Sa . . . . . . . . . . . . .. (82)2278-5333 Arrow Electronics (UK) Ltd . (44) 1 234270027
EBV France .... .. .. . .. ... (33) 1 64 68 86 00 Lite-On Korea Ltd ............ (82)2858-3853 AvnetiAccess .•........... (44) 1 462488500
Future Electronics ...........• (33)169821111 Nasco Co. Ltd. . . . . . . . . . . . . .. (82)23772-6800 Future Electronics Ltd ...... (44) 1 753763000
Newark . . . . . . . . . . • . • . . . . . .. (33) 1-30954060 NEW ZEALAND Macro Marketing Ltd. ....... (44) 1 628 60600
SEIIScaib .. .. .. .. .. .. .... (33) 1 69 19 89 00 AVNET VSI (NZ) Ltd . . . . . . . .. (64)9636-7801 Newark .................. (44) 1 420543333
GERMANY NORWAY

For changes to this Information contact Technical Publications at FAX (602) 244·6560
5/1/96

MOTOROLA WORLDWIDE SALES OFFICES


UNITED STATES Colmar ..................... (215)997-1020 Herzlia ..................... 972-9-590222
ALABAMA Philadelphia/Horsham ........ (215)957-4100 ITALY
HUn1svilie ..............•.... (205)464--6800 TENNESSEE Milan. . . . . . . • . . . . .. . . . . . . . . . ... 39(2)82201
ALASKA .................... (800)635-8291 Knoxville ............•.....•. (423)584-4841 JAPAN
ARIZONA TEXAS Kyusyu ................... 81-92-725-7583
Tempe .....................• (602)302-8056 Auslin ...................... (512)502-2100 Gotanda .................. 81-3-5487-8311
CALIFORNIA Houslon .................... (713)251-0006 Nagoya . . . . • • . . • • • • . . . . . •. 81-52-232-3500
Calabasas ................... (818)878-8800 Plano •.•••••.......•.•.•.... (214)518-5100 Osaka ........ .. .. .. .. .. ... 81-8-305-1801
Irvine ....................... (714)753-7360 VIRGINIA Sendal ................... 81-22-268-4333
Los Angeles ................. (818)878-6800 Richmond ................... (804)285-2100 Takamatsu '" .......•..... 81-878-37-9972
San Diego ................... (619)541-2163 UTAH Tokyo .....••............. 81-3-3440-3311
Sunnyvale ................... (408)749-0510 CSI Inc......••..•........... (801 )572-401 0 KOREA
COLORADO WASHINGTON Pusan •.•••................ 82(51)4635-035
Denver ..................... (303)337-3434 Bellevue .................... (206)454-4160 Seoul. . • • .. • . . . . . . . . . . . . . . .. 82(2)554-5118
CONNECTICUT Seattle Access .............. (206)622-9960 MALAYSIA
Wallingford .................. (203)949-4100 WISCONSIN Penang ...............••.... 60(4)228-2514
FLORIDA Milwaukee/Brookfield ......... (414)792-0122 MEXICO
Clearwater .................. (813)524-4177 Field Applicalions Engineering Available Mexico City ................. 52(5)282-0230
Maitland .................... (407)628-2636 Guadalajara .........•....... 52(36)21-8977
Through All Sales Offices
Pompano Beach/Ft. Lauderdale (305)351-6040 Marketing •••................ 52(36)21-2023
GEORGIA Cuslomer Service ........... 52(36)669-9160
Atlanta ..................... (770)729-7100 CANADA NETHERLANDS
IDAHO BRITISH COLUMBIA Best ....................... (31)499861211
Boise.. . .. .. .. .. .. .. .. .. .... (208)323-9413 Vancouver . . . . . . . . . . . . . . . . . •. (604)293-7650 PHILIPPINES
ILLINOIS ONTARIO Manila . . . . . . . . . . . . . . . . . . ... (63)2 822-0625
Chicago/Schaumburg ...••.... (847)413-2500 Ottawa ..................... (613)228-3491 PUERTO RICO
INDIANA Toronto ..................... (416)497-8181 San Juan .. • .. . .. . .. . . .. . .... (809)282-2300
Indianapolis ................. (317)571-0400 QUEBEC . SiNGAPORE .................. (65)4618188
Kokomo ................... (317)455-5100 Montreal ..... . . .. .. . . . . . .. .. (514)333-3300 SPAIN
IOWA Madrid ...................... 34(1 )457-8204
Cedar Rapids ................ (319)378-0383 or .......................... 34(1 )457-8254
KANSAS INTERNATIONAL SWEDEN
Kansas City/Mission .......... (913)451-8555 Solna ....................... 46(8)734-8800
MARYLAND AUSTRALIA SWITZERLAND
Columbia ................... (410)381-1570 Melbourne .•.......•....•.• (61-3)98870711 Geneva .................... 41(22)7991111
MASSACHUSETTS Sydney .................... (61-2)29661071 Zurich ...................... 41(1)730-4074
MMborough ..............•.. (508)357-8200 BRAZIL TAIWAN
Woburn ..................... (617)932-9700 Sao Paulo ................. 55(11)815-4200 Taipei ..................... 886(2)717-7089
MICHIGAN CHINA THAILAND
Detron ...................... (810)347-8800 Beijing ............••......• 88-10-8437222 Bangkok .................... 66(2)254-4910
Literature ................... (800)392-2016 Guangzhou ................ 88-20-7537888 UNITED KINGDOM
MINNESOTA Shanghai .................. 88-21-3747668 Aylesbury ................. 44 1 (296)395252
Minnetonka .................. (612)932-1500 Tianjin ........ .. .. .. .. .. ... 88-22-5325072
MISSOURI DENMARK
S1. Louis .................... (314)275-7380 Denmark ..................... (45) 43488393 FULL LINE REPRESENTATIVES
NEW JERSEY FINLAND CALIFORNIA, Loomis
Fairfield ..................... (201 )808-2400 Helsinki ................... 358-0-35161191 Galena Technology Group .•.... (916)652-0268
NEW YORK carphone ................... 358(49)211501 NEVADA, Reno
Fairport ..................... (716)425-4000 FRANCE Galena Tech. Group .......... (702)748-0642
Fishkill. .. .. . .. . . .. .. .. .. . ... (914)896-0511 Paris ........................ 33134 635900 NEW MEXICO, Albuquerque
Hauppauge ................. (516)361-7000 GERMANY S&S Technologies,lnc ...•.... (602)414-1100
NORTH CAROLINA Langenhagen/Hanover ....... 49(511)786880 UTAH, Salt Lake City
Raleigh ..................... (919)870-4355 Munich ..................... 498992103-0 Utah Compo Sales, Inc..•••••. (801)561-5099
OHIO Nuremberg . .. .. .. .. .. .. .... 49 911 96-3190 WASHINGTON, Spokane
Cleveland ................... (216)349-3100 Sindelfingen ................. 49 7031 79 710 Doug Kenley ..........•...•• (509)924-2322
Columbus/Worthington ........ (614)431-8492 Wiesbaden .. .. .. .. .. .. .. .... 49 611 973050
Dayton ............•........ (513)438-8800 HONG KONG
OKLAHOMA Kwai Fong ................. 852-2-810-8888 HYBRID/MCM COMPONENT SUPPLIERS
Tulsa ....................... (918)459-4565 Tai Po .................... 852-2-866-8333 Chip Supply ................. (407)298-7100
OREGON INDIA ElmoSemlconductor ......... (818)768-7400
Portland .................... (503)641-3681 Bangalore .................. 91-80-5598615 Minco Technology Labs Inc.... (512)834--2022
PENNSYLVANIA ISRAEL Semi Dice Inc................ (310)594-4631

For changes to this Information contact Technical Publications at FAX (602) 244-6560
Introduction

Ifl Semicustom Application Specific


L!J Integrated Circuits (ASIC)

[]] Microcomputer Components

f31
Logic: Standard, Special
~ and Programmable

I4l Analog and Interface


~ Integrated Circuits
I"i:l Communications, Power and
L5J Signal Technologies Group Products
'6l
Product Literature and
~ Technical Training

I-jl Device Index and


L!J Subject Index

1 PHX14226-24 Printed in USA 5196 BANTA CO. MOTO #63 50,000 GENERAL YMAAAA
® MOTOROLA

How to reach us :
USA/EUROPE / Locations Not Listed : Motorola Literature Distribution ; JAPAN: Nippon Motorola Ltd.; Tatsumi--SPD--JLDC, 6F Seibu--Butsuryu--Center,
P.O. Box 20912; Phoenix, Arizona 85036. 1--B00-441-2447 or 602- 303-5454 3-14-2 Tatsumi Koto--Ku, Tokyo 135, Japan. 03--B1-3521--B315

MFAX: RMFAXO @email .sps .mot.com- TOUCHTONE 602-244-€609 ASIA / PACIFIC : Motorola Semiconductors H.K. Ltd.; 8B Tai Ping Industrial Park,
INTERNET: http ://Desigll-NET.com 51 Ting Kok Road, Tai Po, N.T. , Hong Kong. 852- 26629298

SG73/D

1111111111111111111111111111111111111111

You might also like