The Federal University of Technology Akure, Ondo State
The Federal University of Technology Akure, Ondo State
The Federal University of Technology Akure, Ondo State
ONDO STATE
PROJECT TITLE:
DESIGN OF A 4:1 MULTIPLEXER CIRCUIT
COMPILED BY:
GROUP 2
SUBMITTED TO:
MR ANTHONY
EQUIPMENTS/MATERIALS:
Althera Quartus Software
Laptop/PC
Design Simulators
USB Blaster
Field Programmable Gate Array (FPGA)
Programmable Logic Devices
Schematic Devices
PROCEDURES/METHOD:
In electronics, a multiplexer (MUX) is a device that selects between several
analog or digital input signals and forwards the selected input to a single output line.
The selection is directed to a separate set of digital inputs known as select lines.
DESIGN STEPS:
1. Firstly, set up the circuit as shown below.
D0
D1 4:1 MUX M
D2
D3
S1 S0
2. After constructing circuit, observe the operation while validating its
truth table. The truth table is given below:
3. The 4:1 Multiplexer consists four data input lines as D0 to D3, two
select lines as S0 and S1 and a single output line M.
4. Now, set up the 4:1 Multiplexer using the VHDL code below:
VHDL CODE:
library ieee;
use ieee.std_logic_1164.all;
--entity declaration
entity mux_4 is
port (d0, d1, d2, d3:in std_logic;
sel1, sel2: in std_logic;
M: out std_logic);
end mux_4;
architecture dataflow of mux_4 is
signal s1, s2, t1, t2, t3, t4: std_logic;
begin
s1 <= not sel1;
s2 <= not sel2;
t1<= d0 and s1 and s2;
t2<= d1 and s2 and sel1;
t3<= d2 and sel2 and s1;
t4<= d3 and sel2 and sel1;
M<= t1 or t2 or t3 or t4;
end dataflow;
5. The corresponding wave form is printed below: