4-Bit ALU
4-Bit ALU
4-Bit ALU
org/wiki/VHDL_for_FPGA_Design/4-Bit_ALU
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity ALU_VHDL is
port
(
Nibble1, Nibble2 : in std_logic_vector(3 downto 0);
Operation : in std_logic_vector(2 downto 0);
begin
1 of 3 14-04-2011 21:59
VHDL for FPGA Design/4-Bit ALU - Wikibooks, open books for an op... http://en.wikibooks.org/wiki/VHDL_for_FPGA_Design/4-Bit_ALU
Simulation Waveform
2 of 3 14-04-2011 21:59
VHDL for FPGA Design/4-Bit ALU - Wikibooks, open books for an op... http://en.wikibooks.org/wiki/VHDL_for_FPGA_Design/4-Bit_ALU
Generated Symbol
3 of 3 14-04-2011 21:59