Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Showing 1–39 of 39 results for author: Yağlıkçı, A G

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.19094  [pdf, other

    cs.CR cs.AR

    Understanding the Security Benefits and Overheads of Emerging Industry Solutions to DRAM Read Disturbance

    Authors: Oğuzhan Canpolat, A. Giray Yağlıkçı, Geraldo F. Oliveira, Ataberk Olgun, Oğuz Ergin, Onur Mutlu

    Abstract: We present the first rigorous security, performance, energy, and cost analyses of the state-of-the-art on-DRAM-die read disturbance mitigation method, Per Row Activation Counting (PRAC), described in JEDEC DDR5 specification's April 2024 update. Unlike prior state-of-the-art that advises the memory controller to periodically issue refresh management (RFM) commands, which provides the DRAM chip wit… ▽ More

    Submitted 27 June, 2024; originally announced June 2024.

    Comments: To appear in DRAMSec 2024

  2. arXiv:2406.16153  [pdf, other

    cs.AR cs.CR

    RowPress Vulnerability in Modern DRAM Chips

    Authors: Haocong Luo, Ataberk Olgun, A. Giray Yağlıkçı, Yahya Can Tuğrul, Steve Rhyner, Meryem Banu Cavlak, Joël Lindegger, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Memory isolation is a critical property for system reliability, security, and safety. We demonstrate RowPress, a DRAM read disturbance phenomenon different from the well-known RowHammer. RowPress induces bitflips by keeping a DRAM row open for a long period of time instead of repeatedly opening and closing the row. We experimentally characterize RowPress bitflips, showing their widespread existenc… ▽ More

    Submitted 23 June, 2024; originally announced June 2024.

    Comments: To Appear in IEEE MICRO Top Picks Special Issue (July-August 2024). arXiv admin note: substantial text overlap with arXiv:2306.17061

  3. arXiv:2406.13080  [pdf, other

    cs.AR cs.CR

    An Experimental Characterization of Combined RowHammer and RowPress Read Disturbance in Modern DRAM Chips

    Authors: Haocong Luo, Ismail Emir Yüksel, Ataberk Olgun, A. Giray Yağlıkçı, Mohammad Sadrosadati, Onur Mutlu

    Abstract: DRAM read disturbance can break memory isolation, a fundamental property to ensure system robustness (i.e., reliability, security, safety). RowHammer and RowPress are two different DRAM read disturbance phenomena. RowHammer induces bitflips in physically adjacent victim DRAM rows by repeatedly opening and closing an aggressor DRAM row, while RowPress induces bitflips by keeping an aggressor DRAM r… ▽ More

    Submitted 21 June, 2024; v1 submitted 18 June, 2024; originally announced June 2024.

    Comments: To appear at DSN Disrupt 2024 (June 2024)

  4. arXiv:2405.06081  [pdf, other

    cs.AR cs.DC

    Simultaneous Many-Row Activation in Off-the-Shelf DRAM Chips: Experimental Characterization and Analysis

    Authors: Ismail Emir Yuksel, Yahya Can Tugrul, F. Nisa Bostanci, Geraldo F. Oliveira, A. Giray Yaglikci, Ataberk Olgun, Melina Soysal, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu

    Abstract: We experimentally analyze the computational capability of commercial off-the-shelf (COTS) DRAM chips and the robustness of these capabilities under various timing delays between DRAM commands, data patterns, temperature, and voltage levels. We extensively characterize 120 COTS DDR4 chips from two major manufacturers. We highlight four key results of our study. First, COTS DRAM chips are capable of… ▽ More

    Submitted 9 May, 2024; originally announced May 2024.

    Comments: To appear in DSN 2024

  5. arXiv:2404.13477  [pdf, other

    cs.CR cs.AR

    Leveraging Adversarial Detection to Enable Scalable and Low Overhead RowHammer Mitigations

    Authors: Oğuzhan Canpolat, A. Giray Yağlıkçı, Ataberk Olgun, İsmail Emir Yüksel, Yahya Can Tuğrul, Konstantinos Kanellopoulos, Oğuz Ergin, Onur Mutlu

    Abstract: RowHammer is a prime example of read disturbance in DRAM where repeatedly accessing (hammering) a row of DRAM cells (DRAM row) induces bitflips in other physically nearby DRAM rows. RowHammer solutions perform preventive actions (e.g., refresh neighbor rows of the hammered row) that mitigate such bitflips to preserve memory isolation, a fundamental building block of security and privacy in modern… ▽ More

    Submitted 20 April, 2024; originally announced April 2024.

  6. arXiv:2404.11284  [pdf, other

    cs.CR cs.AR

    Amplifying Main Memory-Based Timing Covert and Side Channels using Processing-in-Memory Operations

    Authors: Konstantinos Kanellopoulos, F. Nisa Bostanci, Ataberk Olgun, A. Giray Yaglikci, Ismail Emir Yuksel, Nika Mansouri Ghiasi, Zulal Bingol, Mohammad Sadrosadati, Onur Mutlu

    Abstract: The adoption of processing-in-memory (PiM) architectures has been gaining momentum because they provide high performance and low energy consumption by alleviating the data movement bottleneck. Yet, the security of such architectures has not been thoroughly explored. The adoption of PiM solutions provides a new way to directly access main memory, which can be potentially exploited by malicious user… ▽ More

    Submitted 22 April, 2024; v1 submitted 17 April, 2024; originally announced April 2024.

  7. arXiv:2402.19080  [pdf, other

    cs.AR cs.DC

    MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Processing

    Authors: Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yağlıkçı, F. Nisa Bostancı, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu

    Abstract: Processing-using-DRAM (PUD) is a processing-in-memory (PIM) approach that uses a DRAM array's massive internal parallelism to execute very-wide data-parallel operations, in a single-instruction multiple-data (SIMD) fashion. However, DRAM rows' large and rigid granularity limit the effectiveness and applicability of PUD in three ways. First, since applications have varying degrees of SIMD paralleli… ▽ More

    Submitted 3 March, 2024; v1 submitted 29 February, 2024; originally announced February 2024.

    Comments: Extended version of HPCA 2024 paper. arXiv admin note: text overlap with arXiv:2109.05881 by other authors

  8. arXiv:2402.18769  [pdf, other

    cs.CR cs.AR

    CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at Low Cost

    Authors: F. Nisa Bostanci, Ismail Emir Yuksel, Ataberk Olgun, Konstantinos Kanellopoulos, Yahya Can Tugrul, A. Giray Yaglikci, Mohammad Sadrosadati, Onur Mutlu

    Abstract: We propose a new RowHammer mitigation mechanism, CoMeT, that prevents RowHammer bitflips with low area, performance, and energy costs in DRAM-based systems at very low RowHammer thresholds. The key idea of CoMeT is to use low-cost and scalable hash-based counters to track DRAM row activations. CoMeT uses the Count-Min Sketch technique that maps each DRAM row to a group of counters, as uniquely as… ▽ More

    Submitted 28 February, 2024; originally announced February 2024.

    Comments: To appear at HPCA 2024

  9. arXiv:2402.18736  [pdf, other

    cs.AR cs.DC

    Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis

    Authors: Ismail Emir Yuksel, Yahya Can Tugrul, Ataberk Olgun, F. Nisa Bostanci, A. Giray Yaglikci, Geraldo F. Oliveira, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Processing-using-DRAM (PuD) is an emerging paradigm that leverages the analog operational properties of DRAM circuitry to enable massively parallel in-DRAM computation. PuD has the potential to reduce or eliminate costly data movement between processing elements and main memory. Prior works experimentally demonstrate three-input MAJ (MAJ3) and two-input AND and OR operations in commercial off-the-… ▽ More

    Submitted 21 April, 2024; v1 submitted 28 February, 2024; originally announced February 2024.

    Comments: A shorter version of this work is to appear at the 30th IEEE International Symposium on High-Performance Computer Architecture (HPCA-30), 2024

  10. arXiv:2402.18652  [pdf, other

    cs.CR cs.AR

    Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions

    Authors: Abdullah Giray Yağlıkçı, Yahya Can Tuğrul, Geraldo F. Oliveira, İsmail Emir Yüksel, Ataberk Olgun, Haocong Luo, Onur Mutlu

    Abstract: Read disturbance in modern DRAM chips is a widespread phenomenon and is reliably used for breaking memory isolation, a fundamental building block for building robust systems. RowHammer and RowPress are two examples of read disturbance in DRAM where repeatedly accessing (hammering) or keeping active (pressing) a memory location induces bitflips in other memory locations. Unfortunately, shrinking te… ▽ More

    Submitted 28 February, 2024; originally announced February 2024.

    Comments: A shorter version of this work is to appear at the 30th IEEE International Symposium on High-Performance Computer Architecture (HPCA-30), 2024

  11. arXiv:2401.16279  [pdf, other

    cs.AR

    Rethinking the Producer-Consumer Relationship in Modern DRAM-Based Systems

    Authors: Minesh Patel, Taha Shahroodi, Aditya Manglik, Abdullah Giray Yağlıkçı, Ataberk Olgun, Haocong Luo, Onur Mutlu

    Abstract: Generational improvements to commodity DRAM throughout half a century have long solidified its prevalence as main memory across the computing industry. However, overcoming today's DRAM technology scaling challenges requires new solutions driven by both DRAM producers and consumers. In this paper, we observe that the separation of concerns between producers and consumers specified by industry-wide… ▽ More

    Submitted 29 January, 2024; originally announced January 2024.

    Comments: arXiv admin note: substantial text overlap with arXiv:2204.10378

  12. arXiv:2312.02880  [pdf, other

    cs.AR cs.DC

    PULSAR: Simultaneous Many-Row Activation for Reliable and High-Performance Computing in Off-the-Shelf DRAM Chips

    Authors: Ismail Emir Yuksel, Yahya Can Tugrul, F. Nisa Bostanci, Abdullah Giray Yaglikci, Ataberk Olgun, Geraldo F. Oliveira, Melina Soysal, Haocong Luo, Juan Gomez Luna, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Data movement between the processor and the main memory is a first-order obstacle against improving performance and energy efficiency in modern systems. To address this obstacle, Processing-using-Memory (PuM) is a promising approach where bulk-bitwise operations are performed leveraging intrinsic analog properties within the DRAM array and massive parallelism across DRAM columns. Unfortunately, 1)… ▽ More

    Submitted 18 March, 2024; v1 submitted 5 December, 2023; originally announced December 2023.

  13. arXiv:2310.14665  [pdf, other

    cs.CR cs.AR

    Read Disturbance in High Bandwidth Memory: A Detailed Experimental Study on HBM2 DRAM Chips

    Authors: Ataberk Olgun, Majd Osseiran, Abdullah Giray Yaglikci, Yahya Can Tugrul, Haocong Luo, Steve Rhyner, Behzad Salami, Juan Gomez Luna, Onur Mutlu

    Abstract: We experimentally demonstrate the effects of read disturbance (RowHammer and RowPress) and uncover the inner workings of undocumented read disturbance defense mechanisms in High Bandwidth Memory (HBM). Detailed characterization of six real HBM2 DRAM chips in two different FPGA boards shows that (1) the read disturbance vulnerability significantly varies between different HBM2 chips and between dif… ▽ More

    Submitted 2 May, 2024; v1 submitted 23 October, 2023; originally announced October 2023.

    Comments: To appear in DSN 2024

  14. arXiv:2310.09977  [pdf, other

    cs.CR cs.AR

    ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation

    Authors: Ataberk Olgun, Yahya Can Tugrul, Nisa Bostanci, Ismail Emir Yuksel, Haocong Luo, Steve Rhyner, Abdullah Giray Yaglikci, Geraldo F. Oliveira, Onur Mutlu

    Abstract: We introduce ABACuS, a new low-cost hardware-counter-based RowHammer mitigation technique that performance-, energy-, and area-efficiently scales with worsening RowHammer vulnerability. We observe that both benign workloads and RowHammer attacks tend to access DRAM rows with the same row address in multiple DRAM banks at around the same time. Based on this observation, ABACuS's key idea is to use… ▽ More

    Submitted 2 May, 2024; v1 submitted 15 October, 2023; originally announced October 2023.

    Comments: To appear in USENIX Security '24

  15. arXiv:2308.11030  [pdf, other

    cs.AR cs.CR

    Ramulator 2.0: A Modern, Modular, and Extensible DRAM Simulator

    Authors: Haocong Luo, Yahya Can Tuğrul, F. Nisa Bostancı, Ataberk Olgun, A. Giray Yağlıkçı, Onur Mutlu

    Abstract: We present Ramulator 2.0, a highly modular and extensible DRAM simulator that enables rapid and agile implementation and evaluation of design changes in the memory controller and DRAM to meet the increasing research effort in improving the performance, security, and reliability of memory systems. Ramulator 2.0 abstracts and models key components in a DRAM-based memory system and their interactions… ▽ More

    Submitted 28 November, 2023; v1 submitted 21 August, 2023; originally announced August 2023.

  16. arXiv:2306.17061  [pdf, other

    cs.CR cs.AR

    RowPress: Amplifying Read Disturbance in Modern DRAM Chips

    Authors: Haocong Luo, Ataberk Olgun, A. Giray Yağlıkçı, Yahya Can Tuğrul, Steve Rhyner, Meryem Banu Cavlak, Joël Lindegger, Mohammad Sadrosadati, Onur Mutlu

    Abstract: Memory isolation is critical for system reliability, security, and safety. Unfortunately, read disturbance can break memory isolation in modern DRAM chips. For example, RowHammer is a well-studied read-disturb phenomenon where repeatedly opening and closing (i.e., hammering) a DRAM row many times causes bitflips in physically nearby rows. This paper experimentally demonstrates and analyzes anoth… ▽ More

    Submitted 28 March, 2024; v1 submitted 29 June, 2023; originally announced June 2023.

    Comments: Extended version of the paper "RowPress: Amplifying Read Disturbance in Modern DRAM Chips" at the 50th Annual International Symposium on Computer Architecture (ISCA), 2023

  17. arXiv:2211.10894  [pdf, other

    cs.AR cs.CR

    TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs

    Authors: İsmail Emir Yüksel, Ataberk Olgun, Behzad Salami, F. Nisa Bostancı, Yahya Can Tuğrul, A. Giray Yağlıkçı, Nika Mansouri Ghiasi, Onur Mutlu, Oğuz Ergin

    Abstract: Prior works propose SRAM-based TRNGs that extract entropy from SRAM arrays. SRAM arrays are widely used in a majority of specialized or general-purpose chips that perform the computation to store data inside the chip. Thus, SRAM-based TRNGs present a low-cost alternative to dedicated hardware TRNGs. However, existing SRAM-based TRNGs suffer from 1) low TRNG throughput, 2) high energy consumption,… ▽ More

    Submitted 20 November, 2022; originally announced November 2022.

  18. Fundamentally Understanding and Solving RowHammer

    Authors: Onur Mutlu, Ataberk Olgun, A. Giray Yağlıkçı

    Abstract: We provide an overview of recent developments and future directions in the RowHammer vulnerability that plagues modern DRAM (Dynamic Random Memory Access) chips, which are used in almost all computing systems as main memory. RowHammer is the phenomenon in which repeatedly accessing a row in a real DRAM chip causes bitflips (i.e., data corruption) in physically nearby rows. This phenomenon leads… ▽ More

    Submitted 3 February, 2023; v1 submitted 14 November, 2022; originally announced November 2022.

    Comments: Invited paper to appear in ASPDAC 2023

  19. arXiv:2211.05838  [pdf, other

    cs.AR cs.CR

    DRAM Bender: An Extensible and Versatile FPGA-based Infrastructure to Easily Test State-of-the-art DRAM Chips

    Authors: Ataberk Olgun, Hasan Hassan, A. Giray Yağlıkçı, Yahya Can Tuğrul, Lois Orosa, Haocong Luo, Minesh Patel, Oğuz Ergin, Onur Mutlu

    Abstract: To understand and improve DRAM performance, reliability, security and energy efficiency, prior works study characteristics of commodity DRAM chips. Unfortunately, state-of-the-art open source infrastructures capable of conducting such studies are obsolete, poorly supported, or difficult to use, or their inflexibility limit the types of studies they can conduct. We propose DRAM Bender, a new FPGA… ▽ More

    Submitted 12 September, 2023; v1 submitted 10 November, 2022; originally announced November 2022.

    Comments: Extended version of paper that is to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)

  20. arXiv:2210.04084  [pdf, other

    cs.CR cs.AR

    SpyHammer: Understanding and Exploiting RowHammer under Fine-Grained Temperature Variations

    Authors: Lois Orosa, Ulrich Rührmair, A. Giray Yaglikci, Haocong Luo, Ataberk Olgun, Patrick Jattke, Minesh Patel, Jeremie Kim, Kaveh Razavi, Onur Mutlu

    Abstract: RowHammer is a DRAM vulnerability that can cause bit errors in a victim DRAM row solely by accessing its neighboring DRAM rows at a high-enough rate. Recent studies demonstrate that new DRAM devices are becoming increasingly vulnerable to RowHammer, and many works demonstrate system-level attacks for privilege escalation or information leakage. In this work, we perform the first rigorous fine-grai… ▽ More

    Submitted 2 June, 2024; v1 submitted 8 October, 2022; originally announced October 2022.

    Comments: This work is to appear at IEEE Access, 2024

  21. arXiv:2209.10198  [pdf, other

    cs.AR cs.CR

    HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips

    Authors: Abdullah Giray Yağlıkçı, Ataberk Olgun, Minesh Patel, Haocong Luo, Hasan Hassan, Lois Orosa, Oğuz Ergin, Onur Mutlu

    Abstract: DRAM is the building block of modern main memory systems. DRAM cells must be periodically refreshed to prevent data loss. Refresh operations degrade system performance by interfering with memory accesses. As DRAM chip density increases with technology node scaling, refresh operations also increase because: 1) the number of DRAM rows in a chip increases; and 2) DRAM cells need additional refresh op… ▽ More

    Submitted 21 September, 2022; originally announced September 2022.

    Comments: To appear in the 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022

  22. arXiv:2207.13795  [pdf, other

    cs.AR

    Sectored DRAM: A Practical Energy-Efficient and High-Performance Fine-Grained DRAM Architecture

    Authors: Ataberk Olgun, F. Nisa Bostanci, Geraldo F. Oliveira, Yahya Can Tugrul, Rahul Bera, A. Giray Yaglikci, Hasan Hassan, Oguz Ergin, Onur Mutlu

    Abstract: We propose Sectored DRAM, a new, low-overhead DRAM substrate that reduces wasted energy by enabling fine-grained DRAM data transfers and DRAM row activation. Sectored DRAM leverages two key ideas to enable fine-grained data transfers and row activation at low chip area cost. First, a cache block transfer between main memory and the memory controller happens in a fixed number of clock cycles where… ▽ More

    Submitted 9 June, 2024; v1 submitted 27 July, 2022; originally announced July 2022.

    Comments: Extended version of paper that is to appear in ACM Transactions on Architecture and Code Optimization (ACM TACO)

  23. arXiv:2207.13358  [pdf, other

    cs.AR cs.CR

    Self-Managing DRAM: A Low-Cost Framework for Enabling Autonomous and Efficient in-DRAM Operations

    Authors: Hasan Hassan, Ataberk Olgun, A. Giray Yaglikci, Haocong Luo, Onur Mutlu

    Abstract: The memory controller is in charge of managing DRAM maintenance operations (e.g., refresh, RowHammer protection, memory scrubbing) in current DRAM chips. Implementing new maintenance operations often necessitates modifications in the DRAM interface, memory controller, and potentially other system components. Such modifications are only possible with a new DRAM standard, which takes a long time to… ▽ More

    Submitted 22 April, 2024; v1 submitted 27 July, 2022; originally announced July 2022.

  24. arXiv:2206.09999  [pdf, other

    cs.AR cs.CR

    Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices

    Authors: A. Giray Yağlıkçı, Haocong Luo, Geraldo F. de Oliviera, Ataberk Olgun, Minesh Patel, Jisung Park, Hasan Hassan, Jeremie S. Kim, Lois Orosa, Onur Mutlu

    Abstract: RowHammer is a circuit-level DRAM vulnerability, where repeatedly activating and precharging a DRAM row, and thus alternating the voltage of a row's wordline between low and high voltage levels, can cause bit flips in physically nearby rows. Recent DRAM chips are more vulnerable to RowHammer: with technology node scaling, the minimum number of activate-precharge cycles to induce a RowHammer bit fl… ▽ More

    Submitted 20 June, 2022; originally announced June 2022.

    Comments: To appear in DSN 2022

  25. arXiv:2204.10378  [pdf, other

    cs.AR

    A Case for Transparent Reliability in DRAM Systems

    Authors: Minesh Patel, Taha Shahroodi, Aditya Manglik, A. Giray Yaglikci, Ataberk Olgun, Haocong Luo, Onur Mutlu

    Abstract: Today's systems have diverse needs that are difficult to address using one-size-fits-all commodity DRAM. Unfortunately, although system designers can theoretically adapt commodity DRAM chips to meet their particular design goals (e.g., by reducing access timings to improve performance, implementing system-level RowHammer mitigations), we observe that designers today lack sufficient insight into co… ▽ More

    Submitted 21 April, 2022; originally announced April 2022.

  26. arXiv:2201.01385  [pdf, other

    cs.AR

    DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators

    Authors: F. Nisa Bostancı, Ataberk Olgun, Lois Orosa, A. Giray Yağlıkçı, Jeremie S. Kim, Hasan Hassan, Oğuz Ergin, Onur Mutlu

    Abstract: Random number generation is an important task in a wide variety of critical applications including cryptographic algorithms, scientific simulations, and industrial testing tools. True Random Number Generators (TRNGs) produce truly random data by sampling a physical entropy source that typically requires custom hardware and suffers from long latency. To enable high-bandwidth and low-latency TRNGs o… ▽ More

    Submitted 6 June, 2022; v1 submitted 4 January, 2022; originally announced January 2022.

  27. arXiv:2112.11587  [pdf, other

    cs.AR

    DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors

    Authors: Jawad Haj Yahya, Jeremie S. Kim, A. Giray Yaglikci, Jisung Park, Efraim Rotem, Yanos Sazeides, Onur Mutlu

    Abstract: To reduce the leakage power of inactive (dark) silicon components, modern processor systems shut-off these components' power supply using low-leakage transistors, called power-gates. Unfortunately, power-gates increase the system's power-delivery impedance and voltage guardband, limiting the system's maximum attainable voltage (i.e., Vmax) and, thus, the CPU core's maximum attainable frequency (i.… ▽ More

    Submitted 21 December, 2021; originally announced December 2021.

    Comments: The paper is accepted to HPCA 2022

  28. A Deeper Look into RowHammer`s Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses

    Authors: Lois Orosa, Abdullah Giray Yağlıkçı, Haocong Luo, Ataberk Olgun, Jisung Park, Hasan Hassan, Minesh Patel, Jeremie S. Kim, Onur Mutlu

    Abstract: RowHammer is a circuit-level DRAM vulnerability where repeatedly accessing (i.e., hammering) a DRAM row can cause bit flips in physically nearby rows. The RowHammer vulnerability worsens as DRAM cell size and cell-to-cell spacing shrink. Recent studies demonstrate that modern DRAM chips, including chips previously marketed as RowHammer-safe, are even more vulnerable to RowHammer than older chips s… ▽ More

    Submitted 19 October, 2021; originally announced October 2021.

    Comments: A shorter version of this work is to appear at the 54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-54), 2021

  29. arXiv:2106.07084  [pdf

    cs.CR cs.AR

    Security Analysis of the Silver Bullet Technique for RowHammer Prevention

    Authors: Abdullah Giray Yağlıkçı, Jeremie S. Kim, Fabrice Devaux, Onur Mutlu

    Abstract: The purpose of this document is to study the security properties of the Silver Bullet algorithm against worst-case RowHammer attacks. We mathematically demonstrate that Silver Bullet, when properly configured and implemented in a DRAM chip, can securely prevent RowHammer attacks. The demonstration focuses on the most representative implementation of Silver Bullet, the patent claiming many implemen… ▽ More

    Submitted 15 June, 2021; v1 submitted 13 June, 2021; originally announced June 2021.

    Comments: 40 pages

  30. arXiv:2106.05050  [pdf, other

    cs.DC cs.AR cs.CR

    IChannels: Exploiting Current Management Mechanisms to Create Covert Channels in Modern Processors

    Authors: Jawad Haj-Yahya, Jeremie S. Kim, A. Giray Yaglikci, Ivan Puddu, Lois Orosa, Juan Gómez Luna, Mohammed Alser, Onur Mutlu

    Abstract: To operate efficiently across a wide range of workloads with varying power requirements, a modern processor applies different current management mechanisms, which briefly throttle instruction execution while they adjust voltage and frequency to accommodate for power-hungry instructions (PHIs) in the instruction stream. Doing so 1) reduces the power consumption of non-PHI instructions in typical wo… ▽ More

    Submitted 10 June, 2021; v1 submitted 9 June, 2021; originally announced June 2021.

    Comments: To appear in ISCA 2021

  31. arXiv:2105.08955  [pdf, other

    cs.AR cs.CR

    QUAC-TRNG: High-Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM Chips

    Authors: Ataberk Olgun, Minesh Patel, A. Giray Yağlıkçı, Haocong Luo, Jeremie S. Kim, Nisa Bostancı, Nandita Vijaykumar, Oğuz Ergin, Onur Mutlu

    Abstract: True random number generators (TRNG) sample random physical processes to create large amounts of random numbers for various use cases, including security-critical cryptographic primitives, scientific simulations, machine learning applications, and even recreational entertainment. Unfortunately, not every computing system is equipped with dedicated TRNG hardware, limiting the application space and… ▽ More

    Submitted 25 May, 2021; v1 submitted 19 May, 2021; originally announced May 2021.

    Comments: 15 pages, 14 figures. A shorter version of this work is to appear at the 48th IEEE International Symposium on Computer Architecture (ISCA 2021)

  32. BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows

    Authors: Abdullah Giray Yağlıkçı, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, Onur Mutlu

    Abstract: Aggressive memory density scaling causes modern DRAM devices to suffer from RowHammer, a phenomenon where rapidly activating a DRAM row can cause bit-flips in physically-nearby rows. Recent studies demonstrate that modern DRAM chips, including chips previously marketed as RowHammer-safe, are even more vulnerable to RowHammer than older chips. Many works show that attackers can exploit RowHammer bi… ▽ More

    Submitted 29 July, 2022; v1 submitted 11 February, 2021; originally announced February 2021.

    Comments: A shorter version of this work is to appear at the 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27), 2021

  33. arXiv:2005.13121  [pdf, other

    cs.AR cs.CR

    Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques

    Authors: Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, Onur Mutlu

    Abstract: In order to shed more light on how RowHammer affects modern and future devices at the circuit-level, we first present an experimental characterization of RowHammer on 1580 DRAM chips (408x DDR3, 652x DDR4, and 520x LPDDR4) from 300 DRAM modules (60x DDR3, 110x DDR4, and 130x LPDDR4) with RowHammer protection mechanisms disabled, spanning multiple different technology nodes from across each of the… ▽ More

    Submitted 29 May, 2020; v1 submitted 26 May, 2020; originally announced May 2020.

  34. arXiv:2005.12775  [pdf, other

    cs.AR

    CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off

    Authors: Haocong Luo, Taha Shahroodi, Hasan Hassan, Minesh Patel, Abdullah Giray Yaglikci, Lois Orosa, Jisung Park, Onur Mutlu

    Abstract: DRAM is the prevalent main memory technology, but its long access latency can limit the performance of many workloads. Although prior works provide DRAM designs that reduce DRAM access latency, their reduced storage capacities hinder the performance of workloads that need large memory capacity. Because the capacity-latency trade-off is fixed at design time, previous works cannot achieve maximum pe… ▽ More

    Submitted 26 May, 2020; originally announced May 2020.

    Comments: This work is to appear at ISCA 2020

  35. arXiv:2005.07613  [pdf, other

    cs.AR

    SysScale: Exploiting Multi-domain Dynamic Voltage and Frequency Scaling for Energy Efficient Mobile Processors

    Authors: Jawad Haj-Yahya, Mohammed Alser, Jeremie Kim, A. Giray Yaglıkçı, Nandita Vijaykumar, Efraim Rotem, Onur Mutlu

    Abstract: There are three domains in a modern thermally-constrained mobile system-on-chip (SoC): compute, IO, and memory. We observe that a modern SoC typically allocates a fixed power budget, corresponding to worst-case performance demands, to the IO and memory domains even if they are underutilized. The resulting unfair allocation of the power budget across domains can cause two major issues: 1) the IO an… ▽ More

    Submitted 18 May, 2020; v1 submitted 15 May, 2020; originally announced May 2020.

    Comments: To appear at ISCA 2020

  36. arXiv:1910.05340  [pdf, other

    cs.DC cs.LG

    EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM

    Authors: Skanda Koppula, Lois Orosa, Abdullah Giray Yağlıkçı, Roknoddin Azizi, Taha Shahroodi, Konstantinos Kanellopoulos, Onur Mutlu

    Abstract: The effectiveness of deep neural networks (DNN) in vision, speech, and language processing has prompted a tremendous demand for energy-efficient high-performance DNN inference systems. Due to the increasing memory intensity of most DNN workloads, main memory can dominate the system's energy consumption and stall time. One effective way to reduce the energy consumption and increase the performance… ▽ More

    Submitted 11 October, 2019; originally announced October 2019.

    Comments: This work is to appear at MICRO 2019

  37. arXiv:1807.05102  [pdf, other

    cs.AR

    What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study

    Authors: Saugata Ghose, Abdullah Giray Yağlıkçı, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang, Niladrish Chatterjee, Aditya Agrawal, Mike O'Connor, Onur Mutlu

    Abstract: Main memory (DRAM) consumes as much as half of the total system power in a computer today, resulting in a growing need to develop new DRAM architectures and systems that consume less power. Researchers have long relied on DRAM power models that are based off of standardized current measurements provided by vendors, called IDD values. Unfortunately, we find that these models are highly inaccurate,… ▽ More

    Submitted 13 July, 2018; originally announced July 2018.

    Comments: presented at SIGMETRICS 2018

  38. arXiv:1805.03175  [pdf, other

    cs.AR

    Voltron: Understanding and Exploiting the Voltage-Latency-Reliability Trade-Offs in Modern DRAM Chips to Improve Energy Efficiency

    Authors: Kevin K. Chang, Abdullah Giray Yaglıkçı, Saugata Ghose, Aditya Agrawal, Niladrish Chatterjee, Abhijith Kashyap, Donghyuk Lee, Mike O'Connor, Hasan Hassan, Onur Mutlu

    Abstract: This paper summarizes our work on experimental characterization and analysis of reduced-voltage operation in modern DRAM chips, which was published in SIGMETRICS 2017, and examines the work's significance and future potential. We take a comprehensive approach to understanding and exploiting the latency and reliability characteristics of modern DRAM when the DRAM supply voltage is lowered below t… ▽ More

    Submitted 8 May, 2018; originally announced May 2018.

  39. Understanding Reduced-Voltage Operation in Modern DRAM Chips: Characterization, Analysis, and Mechanisms

    Authors: Kevin K. Chang, Abdullah Giray Yağlıkçı, Saugata Ghose, Aditya Agrawal, Niladrish Chatterjee, Abhijith Kashyap, Donghyuk Lee, Mike O'Connor, Hasan Hassan, Onur Mutlu

    Abstract: The energy consumption of DRAM is a critical concern in modern computing systems. Improvements in manufacturing process technology have allowed DRAM vendors to lower the DRAM supply voltage conservatively, which reduces some of the DRAM energy consumption. We would like to reduce the DRAM supply voltage more aggressively, to further reduce energy. Aggressive supply voltage reduction requires a tho… ▽ More

    Submitted 29 May, 2017; originally announced May 2017.

    Comments: 25 pages, 25 figures, 7 tables, Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS)