default search action
Lizy Kurian John
Person information
- affiliation: University of Texas at Austin, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j96]Fernando Mosquera, Ashen Ekanayake, William Hua, Krishna Kavi, Gayatri Mehta, Lizy Kurian John:
SecurityCloak: Protection against cache timing and speculative memory access attacks. J. Syst. Archit. 150: 103107 (2024) - [j95]Siddhartha Raman Sundara Raman, Lizy Kurian John, Jaydeep P. Kulkarni:
NEM-GNN: DAC/ADC-less, Scalable, Reconfigurable, Graph and Sparsity-Aware Near-Memory Accelerator for Graph Neural Networks. ACM Trans. Archit. Code Optim. 21(2): 39 (2024) - [c221]Qinzhe Wu, Ruihao Li, Jonathan Beard, Lizy Kurian John:
BLQ: Light-Weight Locality-Aware Runtime for Blocking-Less Queuing. CC 2024: 100-112 - [c220]Zhigang Wei, Aman Arora, Emily Shriver, Lizy Kurian John:
Cross-FPGA Power Estimation from High Level Synthesis via Transfer-Learning. FPGA 2024: 187 - [c219]Siddhartha Raman Sundara Raman, Lizy K. John, Jaydeep P. Kulkarni:
SACHI: A Stationarity-Aware, All-Digital, Near-Memory, Ising Architecture. HPCA 2024: 719-731 - [c218]Alan Tendler Leibel Bacellar, Zachary Susskind, Maurício Breternitz Jr., Eugene John, Lizy Kurian John, Priscila Machado Vieira Lima, Felipe M. G. França:
Differentiable Weightless Neural Networks. ICML 2024 - [c217]Alan T. L. Bacellar, Zachary Susskind, Maurício Breternitz, Lizy K. John, Felipe M. G. França, Priscila M. V. Lima:
Soon Filter: Advancing Tiny Neural Architectures for High Throughput Edge Inference. IJCNN 2024: 1-8 - [c216]Bagus Hanindhito, Bhavesh Patel, Lizy K. John:
Bandwidth Characterization of DeepSpeed on Distributed Large Language Model Training. ISPASS 2024: 241-256 - [c215]Stefan Abi-Karam, Rishov Sarkar, Allison Seigler, Sean Lowe, Zhigang Wei, Hanqiu Chen, Nanditha Rao, Lizy Kurian John, Aman Arora, Cong Hao:
HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond. MLCAD 2024: 23:1-23:9 - [c214]Shashank Nag, Zachary Susskind, Aman Arora, Alan T. L. Bacellar, Diego L. C. Dutra, Igor D. S. Miranda, Krishnan Kailas, Eugene B. John, Maurício Breternitz, Priscila M. V. Lima, Felipe M. G. França, Lizy K. John:
LogicNets vs. ULEEN : Comparing two novel high throughput edge ML inference techniques on FPGA. MWSCAS 2024: 1206-1211 - [c213]Bagus Hanindhito, Lizy K. John:
Accelerating ML Workloads using GPU Tensor Cores: The Good, the Bad, and the Ugly. ICPE 2024: 178-189 - [i16]Stefan Abi-Karam, Rishov Sarkar, Allison Seigler, Sean Lowe, Zhigang Wei, Hanqiu Chen, Nanditha Rao, Lizy Kurian John, Aman Arora, Cong Hao:
HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond. CoRR abs/2405.00820 (2024) - [i15]Alan T. L. Bacellar, Zachary Susskind, Maurício Breternitz Jr., Eugene John, Lizy K. John, Priscila M. V. Lima, Felipe M. G. França:
Differentiable Weightless Neural Networks. CoRR abs/2410.11112 (2024) - [i14]Shashank Nag, Alan T. L. Bacellar, Zachary Susskind, Anshul Jha, Logan Liberty, Aishwarya Sivakumar, Eugene B. John, Krishnan Kailas, Priscila M. V. Lima, Neeraja J. Yadwadkar, Felipe M. G. França, Lizy K. John:
Shrinking the Giant : Quasi-Weightless Transformers for Low Energy Inference. CoRR abs/2411.01818 (2024) - 2023
- [j94]Fernando Mosquera, Krishna Kavi, Gayatri Mehta, Lizy K. John:
Guard Cache: Creating Noisy Side-Channels. IEEE Comput. Archit. Lett. 22(2): 97-100 (2023) - [j93]Luis A. Q. Villon, Zachary Susskind, Alan T. L. Bacellar, Igor D. S. Miranda, Leandro Santiago de Araújo, Priscila M. V. Lima, Maurício Breternitz, Lizy K. John, Felipe M. G. França, Diego Leonel Cadette Dutra:
A conditional branch predictor based on weightless neural networks. Neurocomputing 555: 126637 (2023) - [j92]Lizy Kurian John:
Environmentally Sustainable Computing. IEEE Micro 43(1): 4-6 (2023) - [j91]Lizy Kurian John:
Emerging System Interconnects Enabling More Opportunities Than Ever! IEEE Micro 43(2): 4-5 (2023) - [j90]Lizy Kurian John:
Hot Chips 34 and More! IEEE Micro 43(3): 4-6 (2023) - [j89]Lizy Kurian John:
Top Picks From Computer Architecture Conferences! IEEE Micro 43(4): 4-5 (2023) - [j88]Lizy Kurian John:
Hardware Security and Privacy: Threats and Opportunities. IEEE Micro 43(5): 4-5 (2023) - [j87]Lizy Kurian John:
TinyML but by No Means a Tiny Feat! IEEE Micro 43(6): 4-6 (2023) - [j86]Zachary Susskind, Aman Arora, Igor D. S. Miranda, Alan T. L. Bacellar, Luis A. Q. Villon, Rafael Fontella Katopodis, Leandro Santiago de Araújo, Diego L. C. Dutra, Priscila M. V. Lima, Felipe M. G. França, Maurício Breternitz, Lizy K. John:
ULEEN: A Novel Architecture for Ultra-low-energy Edge Neural Networks. ACM Trans. Archit. Code Optim. 20(4): 61:1-61:24 (2023) - [j85]Aman Arora, Andrew Boutros, Seyed Alireza Damghani, Karan Mathur, Vedant Mohanty, Tanmay Anand, Mohamed A. Elgammal, Kenneth B. Kent, Vaughn Betz, Lizy K. John:
Koios 2.0: Open-Source Deep Learning Benchmarks for FPGA Architecture and CAD Research. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11): 3895-3909 (2023) - [j84]Aman Arora, Atharva Bhamburkar, Aatman Borda, Tanmay Anand, Rishabh Sehgal, Bagus Hanindhito, Pierre-Emmanuel Gaillardon, Jaydeep Kulkarni, Lizy K. John:
CoMeFa: Deploying Compute-in-Memory on FPGAs for Deep Learning Acceleration. ACM Trans. Reconfigurable Technol. Syst. 16(3): 50:1-50:34 (2023) - [c212]Igor D. S. Miranda, Aman Arora, Zachary Susskind, Josias S. A. Souza, Mugdha P. Jadhao, Luis A. Q. Villon, Diego L. C. Dutra, Priscila M. V. Lima, Felipe M. G. França, Maurício Breternitz, Lizy K. John:
COIN: Combinational Intelligent Networks. ASAP 2023: 27-28 - [c211]Dimitrios Gourounas, Bagus Hanindhito, Arash Fathi, Dimitar Trenev, Lizy K. John, Andreas Gerstlauer:
FAWS: FPGA Acceleration of Large-Scale Wave Simulations. ASAP 2023: 76-84 - [c210]Zhigang Wei, Aman Arora, Ruihao Li, Lizy K. John:
HLSDataset: Open-Source Dataset for ML-Assisted FPGA Design using High Level Synthesis. ASAP 2023: 197-204 - [c209]Zhengrong Wang, Christopher Liu, Aman Arora, Lizy Kurian John, Tony Nowatzki:
Infinity Stream: Portable and Programmer-Friendly In-/Near-Memory Fusion. ASPLOS (3) 2023: 359-375 - [c208]Dimitrios Gourounas, Bagus Hanindhito, Arash Fathi, Dimitar Trenev, Lizy Kurian John, Andreas Gerstlauer:
LAWS: Large-Scale Accelerated Wave Simulations on FPGAs. FPGA 2023: 230 - [c207]Zachary Susskind, Aman Arora, Alan T. L. Bacellar, Diego Leonel Cadette Dutra, Igor D. S. Miranda, Maurício Breternitz, Priscila M. V. Lima, Felipe M. G. França, Lizy K. John:
An FPGA-Based Weightless Neural Network for Edge Network Intrusion Detection. FPGA 2023: 232 - [c206]Ruihao Li, Qinzhe Wu, Krishna Kavi, Gayatri Mehta, Neeraja J. Yadwadkar, Lizy K. John:
NextGen-Malloc: Giving Memory Allocator Its Own Room in the House. HotOS 2023: 135-142 - [c205]Lizy K. John, Felipe M. G. França, Subhasish Mitra, Zachary Susskind, Priscila M. V. Lima, Igor D. S. Miranda, Eugene B. John, Diego L. C. Dutra, Maurício Breternitz:
Dendrite-inspired Computing to Improve Resilience of Neural Networks to Faults in Emerging Memory Technologies. ICRC 2023: 1-5 - [c204]Steffen Jensen, Jaekyu Lee, Dam Sunwoo, Matt Horsnell, Matthew Siggs, Jeeho Ryoo, Lizy K. John:
Do Video Encoding Workloads Stress the Microarchitecture? IISWC 2023: 54-64 - [c203]Ruihao Li, Sanjana Yadav, Qinzhe Wu, Krishna Kavi, Gayatri Mehta, Neeraja J. Yadwadkar, Lizy K. John:
Performance Implications of Async Memcpy and UVM: A Tale of Two Data Transfer Modes. IISWC 2023: 115-127 - [c202]Fernando Mosquera, Krishna Kavi, Gayatri Mehta, Lizy K. John:
Guard Cache: Creating False Cache Hits and Misses To Mitigate Side-Channel Attacks. SVCC 2023: 1-8 - [i13]Zhigang Wei, Aman Arora, Lizy K. John:
HLSDataset: Open-Source Dataset for ML-Assisted FPGA Design using High Level Synthesis. CoRR abs/2302.10977 (2023) - [i12]Zachary Susskind, Aman Arora, Igor D. S. Miranda, Alan T. L. Bacellar, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Leandro Santiago de Araújo, Diego Leonel Cadette Dutra, Priscila M. V. Lima, Felipe M. G. França, Maurício Breternitz Jr., Lizy K. John:
ULEEN: A Novel Architecture for Ultra Low-Energy Edge Neural Networks. CoRR abs/2304.10618 (2023) - [i11]Aman Arora, Jian Weng, Siyuan Ma, Tony Nowatzki, Lizy K. John:
PIMSAB: A Processing-In-Memory System with Spatially-Aware Communication and Bit-Serial-Aware Computation. CoRR abs/2311.11384 (2023) - 2022
- [j83]Lizy Kurian John:
Smart Agriculture and Smart Memories. IEEE Micro 42(1): 4-6 (2022) - [j82]Lizy Kurian John:
Special Issue on Cool Chips and Hot Interconnects. IEEE Micro 42(2): 4-5 (2022) - [j81]Lizy Kurian John:
Hot Chips 33 and More! IEEE Micro 42(3): 4-5 (2022) - [j80]Lizy Kurian John:
Top Picks from 2021 Computer Architecture Conferences! IEEE Micro 42(4): 4-5 (2022) - [j79]Lizy Kurian John:
Automatic Compilation Will Be Key for Success of the Accelerator Revolution! IEEE Micro 42(5): 4-5 (2022) - [j78]Lizy Kurian John:
Artificial Intelligence at the Edge: Designs and Architectures for Pervasive Intelligence. IEEE Micro 42(6): 4-5 (2022) - [j77]Aman Arora, Moinak Ghosh, Samidh Mehta, Vaughn Betz, Lizy K. John:
Tensor Slices: FPGA Building Blocks For The Deep Learning Era. ACM Trans. Reconfigurable Technol. Syst. 15(4): 46:1-46:34 (2022) - [c201]Zachary Susskind, Aman Arora, Igor D. S. Miranda, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Leandro Santiago de Araújo, Diego Leonel Cadette Dutra, Priscila M. V. Lima, Felipe M. G. França, Maurício Breternitz, Lizy K. John:
Weightless Neural Networks for Efficient Edge Inference. PACT 2022: 279-290 - [c200]Igor D. S. Miranda, Aman Arora, Zachary Susskind, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Diego Leonel Cadette Dutra, Leandro Santiago de Araújo, Priscila M. V. Lima, Felipe M. G. França, Lizy K. John, Maurício Breternitz:
LogicWiSARD: Memoryless Synthesis of Weightless Neural Networks. ASAP 2022: 19-26 - [c199]Cory Davis, Patrick M. Stockton, Eugene B. John, Zachary Susskind, Lizy K. John:
Characterization of Emerging AI Workloads: Neural Logic Machines and Graph Convolutional Networks. CSCI 2022: 1-6 - [c198]Alan T. L. Bacellar, Zachary Susskind, Luis A. Q. Villon, Igor D. S. Miranda, Leandro Santiago de Araújo, Diego Leonel Cadette Dutra, Maurício Breternitz Jr., Lizy K. John, Priscila M. V. Lima, Felipe M. G. França:
Distributive Thermometer: A New Unary Encoding for Weightless Neural Networks. ESANN 2022 - [c197]Zachary Susskind, Alan T. L. Bacellar, Aman Arora, Luis A. Q. Villon, Renan Mendanha, Leandro Santiago de Araújo, Diego Leonel Cadette Dutra, Priscila M. V. Lima, Felipe M. G. França, Igor D. S. Miranda, Maurício Breternitz Jr., Lizy K. John:
Pruning Weightless Neural Networks. ESANN 2022 - [c196]Luis A. Q. Villon, Zachary Susskind, Alan T. L. Bacellar, Igor D. S. Miranda, Leandro Santiago de Araújo, Priscila M. V. Lima, Maurício Breternitz Jr., Lizy K. John, Felipe M. G. França, Diego Leonel Cadette Dutra:
A WiSARD-based conditional branch predictor. ESANN 2022 - [c195]Aman Arora, Tanmay Anand, Aatman Borda, Rishabh Sehgal, Bagus Hanindhito, Jaydeep Kulkarni, Lizy K. John:
CoMeFa: Compute-in-Memory Blocks for FPGAs. FCCM 2022: 1-9 - [c194]Aman Arora, Aatman Borda, Tanmay Anand, Bagus Hanindhito, Lizy K. John:
MathRAMs: Configurable Fused Compute-Memory Blocks for FPGAs. FPGA 2022: 52 - [c193]Qinzhe Wu, Ashen Ekanayake, Ruihao Li, Jonathan Beard, Lizy Kurian John:
SPAMeR: Speculative Push for Anticipated Message Requests in Multi-Core Systems. ICPP 2022: 58:1-58:12 - [c192]Bagus Hanindhito, Dimitrios Gourounas, Arash Fathi, Dimitar Trenev, Andreas Gerstlauer, Lizy K. John:
GAPS: GPU-acceleration of PDE solvers for wave simulation. ICS 2022: 30:1-30:13 - [c191]Steffen Jensen, Jaekyu Lee, Dam Sunwoo, Matthew J. Horsnell, Lizy K. John:
Microarchitectural Performance Evaluation of AV1 Video Encoding Workloads. ISPASS 2022: 251-253 - [c190]Ruihao Li, Aman Arora, Sikan Li, Qinzhe Wu, Lizy K. John:
Hardware-aware 3D Model Workload Selection and Characterization for Graphics and ML Applications. ISQED 2022: 1-8 - [c189]Pragnesh Patel, Aman Arora, Earl E. Swartzlander Jr., Lizy K. John:
LogGen: A Parameterized Generator for Designing Floating-Point Logarithm Units for Deep Learning. ISQED 2022: 1-7 - [c188]Qinzhe Wu, Lizy K. John:
Performance of Java in Function-as-a-Service Computing. UCC 2022: 261-266 - [c187]Nikita Sharma, Ruihao Li, Qinzhe Wu, Lizy K. John:
Performance Impact of NVMe-Over-TCP on HDFS Workloads. UCC 2022: 334-339 - [i10]Zachary Susskind, Aman Arora, Igor Dantas dos Santos Miranda, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Leandro Santiago de Araújo, Diego Leonel Cadette Dutra, Priscila Machado Vieira Lima, Felipe Maia Galvão França, Maurício Breternitz Jr., Lizy K. John:
Weightless Neural Networks for Efficient Edge Inference. CoRR abs/2203.01479 (2022) - [i9]Aman Arora, Tanmay Anand, Aatman Borda, Rishabh Sehgal, Bagus Hanindhito, Jaydeep Kulkarni, Lizy K. John:
CoMeFa: Compute-in-Memory Blocks for FPGAs. CoRR abs/2203.12521 (2022) - 2021
- [j76]Lizy Kurian John:
Connectivity - More Needed Than Ever Before. IEEE Micro 41(1): 4-5 (2021) - [j75]Lizy Kurian John:
CPUs, GPUs, and More From Hot Chips'32. IEEE Micro 41(2): 4-5 (2021) - [j74]Lizy Kurian John:
Top Picks From Year 2020. IEEE Micro 41(3): 4-5 (2021) - [j73]Lizy Kurian John:
FPGA Computing and More! IEEE Micro 41(4): 4-5 (2021) - [j72]Lizy Kurian John:
Quantum Computing and More! IEEE Micro 41(5): 4-5 (2021) - [j71]Lizy Kurian John:
Microprocessor at 50: Looking Back and Looking Forward. IEEE Micro 41(6): 5-9 (2021) - [j70]Lizy Kurian John, Vijaykrishnan Narayanan:
Microprocessor at 50: A Time to Celebrate and Energize for the Future. IEEE Micro 41(6): 10-12 (2021) - [j69]Lizy Kurian John, Vijaykrishnan Narayanan:
Microprocessor at 50: Industry Leaders Speak. IEEE Micro 41(6): 13-15 (2021) - [j68]Lizy Kurian John:
From the Memory Lane! IEEE Micro 41(6): 144-147 (2021) - [j67]Bagus Hanindhito, Karthik Swaminathan, Vijaykrishnan Narayanan, Lizy Kurian John:
Intel Wins in Four Decades, but AMD Catches Up. IEEE Micro 41(6): 168-171 (2021) - [j66]Mochamad Asri, Dhairya Malhotra, Jiajun Wang, George Biros, Lizy K. John, Andreas Gerstlauer:
Hardware Accelerator Integration Tradeoffs for High-Performance Computing: A Case Study of GEMM Acceleration in N-Body Methods. IEEE Trans. Parallel Distributed Syst. 32(8): 2035-2048 (2021) - [c186]Aman Arora, Bagus Hanindhito, Lizy K. John:
Compute RAMs: Adaptable Compute and Storage Blocks for DL-Optimized FPGAs. ACSCC 2021: 1156-1163 - [c185]Aman Arora, Samidh Mehta, Vaughn Betz, Lizy K. John:
Tensor Slices to the Rescue: Supercharging ML Acceleration on FPGAs. FPGA 2021: 23-33 - [c184]Aman Arora, Andrew Boutros, Daniel Rauch, Aishwarya Rajen, Aatman Borda, Seyed Alireza Damghani, Samidh Mehta, Sangram Kate, Pragnesh Patel, Kenneth B. Kent, Vaughn Betz, Lizy K. John:
Koios: A Deep Learning Benchmark Suite for FPGA Architecture and CAD Research. FPL 2021: 355-362 - [c183]Bagus Hanindhito, Ruihao Li, Dimitrios Gourounas, Arash Fathi, Karan Govil, Dimitar Trenev, Andreas Gerstlauer, Lizy Kurian John:
Wave-PIM: Accelerating Wave Simulation Using Processing-in-Memory. ICPP 2021: 8:1-8:11 - [c182]Qinzhe Wu, Jonathan Beard, Ashen Ekanayake, Andreas Gerstlauer, Lizy K. John:
Virtual-Link: A Scalable Multi-Producer Multi-Consumer Message Queue Architecture for Cross-Core Communication. IPDPS 2021: 182-191 - [c181]Ruihao Li, Ke Liu, Xiaojun Cai, Mengying Zhao, Lizy K. John, Zhiping Jia:
Improving CNN performance on FPGA clusters through topology exploration. SAC 2021: 126-134 - [i8]Aman Arora, Andrew Boutros, Daniel Rauch, Aishwarya Rajen, Aatman Borda, Seyed Alireza Damghani, Samidh Mehta, Sangram Kate, Pragnesh Patel, Kenneth B. Kent, Vaughn Betz, Lizy K. John:
Koios: A Deep Learning Benchmark Suite for FPGA Architecture and CAD Research. CoRR abs/2106.07087 (2021) - [i7]Aman Arora, Bagus Hanindhito, Lizy K. John:
Compute RAMs: Adaptable Compute and Storage Blocks for DL-Optimized FPGAs. CoRR abs/2107.09178 (2021) - [i6]Zachary Susskind, Bryce Arden, Lizy K. John, Patrick M. Stockton, Eugene B. John:
Neuro-Symbolic AI: An Emerging Class of AI Workloads and their Characterization. CoRR abs/2109.06133 (2021) - 2020
- [j65]Junyong Deng, Qinzhe Wu, Xiaoyan Wu, Shuang Song, Joseph Dean, Lizy Kurian John:
Demystifying graph processing frameworks and benchmarks. Sci. China Inf. Sci. 63(12) (2020) - [j64]Lizy Kurian John:
Connectivity! Connectivity! Connectivity! May You Be More Connected Than Ever!! IEEE Micro 40(1): 4-5 (2020) - [j63]Lizy Kurian John:
Did ML Chips Heat Up the Chip Design Arena? IEEE Micro 40(2): 4-5 (2020) - [j62]Lizy Kurian John:
Enjoy These Top Picks, While You Work From Home! IEEE Micro 40(3): 4-5 (2020) - [j61]Lizy Kurian John:
Agile Hardware Design. IEEE Micro 40(4): 4-5 (2020) - [j60]Lizy Kurian John:
Machine Learning for Systems, Biological Computing, and More. IEEE Micro 40(5): 4-5 (2020) - [j59]Lizy Kurian John:
Chip Design 2020. IEEE Micro 40(6): 4-5 (2020) - [c180]Harsh Gugale, Nagendra Gulur, Yashwant Marathe, Lizy K. John:
ATTC (@C): Addressable-TLB based Translation Coherence. PACT 2020: 481-492 - [c179]Zhigang Wei, Aman Arora, Pragenesh Patel, Lizy Kurian John:
Design Space Exploration for Softmax Implementations. ASAP 2020: 45-52 - [c178]Aman Arora, Zhigang Wei, Lizy K. John:
Hamamu: Specializing FPGAs for ML Applications by Adding Hard Matrix Multiplier Blocks. ASAP 2020: 53-60 - [c177]Aman Arora, Zhigang Wei, Lizy K. John:
The Case for Hard Matrix Multiplier Blocks in an FPGA. FPGA 2020: 323 - [c176]Ruihao Li, Shuang Song, Qinzhe Wu, Lizy K. John:
Accelerating Force-directed Graph Layout with Processing-in-Memory Architecture. HiPC 2020: 271-282 - [c175]Snehil Verma, Qinzhe Wu, Bagus Hanindhito, Gunjan Jha, Eugene B. John, Ramesh Radhakrishnan, Lizy K. John:
Demystifying the MLPerf Training Benchmark Suite. ISPASS 2020: 24-33 - [c174]Steven Flolid, Emily Shriver, Zachary Susskind, Benjamin Thorell, Lizy K. John:
SimTrace: Capturing over Time Program Phase Behavior. ISPASS 2020: 226-228 - [e3]José Nelson Amaral, Lizy Kurian John, Xipeng Shen:
ICPP 2020: 49th International Conference on Parallel Processing, Edmonton, AB, Canada, August 17-20, 2020. ACM 2020, ISBN 978-1-4503-8816-0 [contents] - [i5]Qinzhe Wu, Jonathan Beard, Ashen Ekanayake, Andreas Gerstlauer, Lizy K. John:
Virtual-Link: A Scalable Multi-Producer, Multi-Consumer Message Queue Architecture for Cross-Core Communication. CoRR abs/2012.05181 (2020)
2010 – 2019
- 2019
- [j58]Muhammad Faisal Iqbal, Muhammad Zahid, Durdana Habib, Lizy Kurian John:
Efficient Prediction of Network Traffic for Real-Time Applications. J. Comput. Networks Commun. 2019: 4067135:1-4067135:11 (2019) - [j57]Lizy Kurian John:
To the Era of Intelligent Chips and Systems. IEEE Micro 39(1): 4-5 (2019) - [j56]Lizy Kurian John:
Emerging Hot Chips and Systems. IEEE Micro 39(2): 4-5 (2019) - [j55]Lizy Kurian John:
Top Picks. IEEE Micro 39(3): 4-5 (2019) - [j54]Lizy Kurian John:
Secure Architectures. IEEE Micro 39(4): 4-5 (2019) - [j53]Lizy Kurian John:
Machine Learning Accelerators and More. IEEE Micro 39(5): 4-5 (2019) - [j52]Lizy Kurian John:
3-D Chips! Chips are Getting Denser and Taller Than Ever!! IEEE Micro 39(6): 4-5 (2019) - [j51]Jiajun Wang, Reena Panda, Lizy K. John:
SelSMaP: A Selective Stride Masking Prefetching Scheme. ACM Trans. Archit. Code Optim. 15(4): 42:1-42:21 (2019) - [c173]Jiajun Wang, Prakash Ramrakhyani, Wendy Elsasser, Lizy Kurian John:
Reducing Data Movement and Energy in Multilevel Cache Hierarchies without Losing Performance: Can you have it all? PACT 2019: 383-394 - [c172]Hao Xu, Qingsen Wang, Shuang Song, Lizy Kurian John, Xu Liu:
Can we trust profiling results?: understanding and fixing the inaccuracy in modern profilers. ICS 2019: 284-295 - [c171]Joseph Whitehouse, Qinzhe Wu, Shuang Song, Eugene John, Andreas Gerstlauer, Lizy K. John:
A Study of Core Utilization and Residency in Heterogeneous Smart Phone Architectures. ICPE 2019: 67-78 - [d2]Qinzhe Wu, Steven Flolid, Shuang Song, Junyong Deng, Lizy K. John:
UT-LCA/Scalability-Phase-Simpoint-of-SPEC-CPU2017: SPEC CPU2017 Integer Speed Suite SimPoint Pinballs. Version v1.3. Zenodo, 2019 [all versions] - [d1]Qinzhe Wu, Steven Flolid, Shuang Song, Junyong Deng, Lizy K. John:
UT-LCA/Scalability-Phase-Simpoint-of-SPEC-CPU2017: SPEC CPU2017 Integer Speed Suite SimPoint Pinballs. Version v1.3. Zenodo, 2019 [all versions] - [i4]Snehil Verma, Qinzhe Wu, Bagus Hanindhito, Gunjan Jha, Eugene B. John, Ramesh Radhakrishnan, Lizy K. John:
Demystifying the MLPerf Benchmark Suite. CoRR abs/1908.09207 (2019) - 2018
- [j50]Lizy K. John, Earl E. Swartzlander Jr.:
Memristor-Based Computing. IEEE Micro 38(5): 5-6 (2018) - [j49]Shuang Song, Xu Liu, Qinzhe Wu, Andreas Gerstlauer, Tao Li, Lizy K. John:
Start Late or Finish Early: A Distributed Graph Processing System with Redundancy Reduction. Proc. VLDB Endow. 12(2): 154-168 (2018) - [j48]Rui Han, Lizy Kurian John, Jianfeng Zhan:
Benchmarking Big Data Systems: A Review. IEEE Trans. Serv. Comput. 11(3): 580-597 (2018) - [c170]Michael LeBeane, Khaled Hamidouche, Brad Benton, Maurício Breternitz, Steven K. Reinhardt, Lizy K. John:
ComP-net: command processor networking for efficient intra-kernel communications on GPUs. PACT 2018: 29:1-29:13 - [c169]Wooseok Lee, Reena Panda, Dam Sunwoo, José A. Joao, Andreas Gerstlauer, Lizy K. John:
BUQS: Battery- and user-aware QoS scaling for interactive mobile devices. ASP-DAC 2018: 64-69 - [c168]Reena Panda, Xinnian Zheng, Andreas Gerstlauer, Lizy Kurian John:
CAMP: Accurate modeling of core and memory locality for proxy generation of big-data applications. DATE 2018: 337-342 - [c167]Sarbartha Banerjee, Lizy Kurian John:
Characterization of Smartphone Governor Strategies. Euro-Par 2018: 120-134 - [c166]Reena Panda, Shuang Song, Joseph Dean, Lizy K. John:
Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon? HPCA 2018: 271-282 - [c165]Jee Ho Ryoo, Shuang Song, Lizy K. John:
Puzzle Memory: Multifractional Partitioned Heterogeneous Memory Scheme. ICCD 2018: 310-317 - [c164]Reena Panda, Lizy K. John:
HALO: A Hierarchical Memory Access Locality Modeling Technique For Memory System Explorations. ICS 2018: 118-128 - [c163]Jee Ho Ryoo, Lizy K. John, Arkaprava Basu:
A Case for Granularity Aware Page Migration. ICS 2018: 352-362 - [c162]Qinzhe Wu, Steven Flolid, Shuang Song, Junyong Deng, Lizy K. John:
Invited Paper for the Hot Workloads Special Session Hot Regions in SPEC CPU2017. IISWC 2018: 71-77 - [i3]Shuang Song, Xu Liu, Qinzhe Wu, Andreas Gerstlauer, Tao Li, Lizy K. John:
Start Late or Finish Early: A Distributed Graph Processing System with Redundancy Reduction. CoRR abs/1805.12305 (2018) - 2017
- [j47]Xinnian Zheng, Lizy K. John, Andreas Gerstlauer:
LACross: Learning-Based Analytical Cross-Platform Performance and Power Prediction. Int. J. Parallel Program. 45(6): 1488-1514 (2017) - [j46]Zhuoran Zhao, Andreas Gerstlauer, Lizy K. John:
Source-Level Performance, Energy, Reliability, Power and Thermal (PERPT) Simulation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(2): 299-312 (2017) - [c161]Reena Panda, Lizy Kurian John:
Proxy Benchmarks for Emerging Big-Data Workloads. PACT 2017: 105-116 - [c160]Reena Panda, Xinnian Zheng, Jiajun Wang, Andreas Gerstlauer, Lizy K. John:
Statistical Pattern Based Modeling of GPU Memory Access Streams. DAC 2017: 81:1-81:6 - [c159]Seogoo Lee, Lizy K. John, Andreas Gerstlauer:
High-level synthesis of approximate hardware under joint precision and voltage scaling. DATE 2017: 187-192 - [c158]Xinnian Zheng, Haris Vikalo, Shuang Song, Lizy K. John, Andreas Gerstlauer:
Sampling-based binary-level cross-platform performance estimation. DATE 2017: 1709-1714 - [c157]Shuang Song, Raj Desikan, Mohamad Barakat, Sridhar Sundaram, Andreas Gerstlauer, Lizy K. John:
Fine-Grain Program Snippets Generator for Mobile Core Design. ACM Great Lakes Symposium on VLSI 2017: 245-250 - [c156]Wooseok Lee, Dam Sunwoo, Christopher D. Emmons, Andreas Gerstlauer, Lizy K. John:
Exploring Heterogeneous-ISA Core Architectures for High-Performance and Energy-Efficient Mobile SoCs. ACM Great Lakes Symposium on VLSI 2017: 419-422 - [c155]Maithili P. Gandhe, Lizy K. John, Andreas Gerstlauer:
POWSER: A novel user-experience based power management metric. IGSC 2017: 1-8 - [c154]Jee Ho Ryoo, Mitesh R. Meswani, Andreas Prodromou, Lizy K. John:
SILC-FM: Subblocked InterLeaved Cache-Like Flat Memory Organization. HPCA 2017: 349-360 - [c153]Jiajun Wang, Reena Panda, Lizy Kurian John:
SelSMaP: A Selective Stride Masking Prefetching Scheme. ICCD 2017: 369-372 - [c152]Wooseok Lee, Dam Sunwoo, Andreas Gerstlauer, Lizy K. John:
Cloud-Guided QoS and Energy Management for Mobile Interactive Web Applications. MOBILESoft@ICSE 2017: 25-29 - [c151]Jee Ho Ryoo, Nagendra Gulur, Shuang Song, Lizy K. John:
Rethinking TLB Designs in Virtualized Environments: A Very Large Part-of-Memory TLB. ISCA 2017: 469-480 - [c150]Lizy Kurian John:
Machine learning for performance and power modeling/prediction. ISPASS 2017: 1-2 - [c149]Reena Panda, Xinnian Zheng, Lizy Kurian John:
Accurate address streams for LLC and beyond (SLAB): A methodology to enable system exploration. ISPASS 2017: 87-96 - [c148]Reena Panda, Lizy Kurian John:
Proxy benchmarks for emerging big-data workloads. ISPASS 2017: 139-140 - [c147]Jiajun Wang, Reena Panda, Lizy Kurian John:
Prefetching for cloud workloads: An analysis based on address patterns. ISPASS 2017: 163-172 - [c146]Yashwant Marathe, Nagendra Gulur, Jee Ho Ryoo, Shuang Song, Lizy K. John:
CSALT: context switch aware large TLB. MICRO 2017: 449-462 - [c145]Michael LeBeane, Khaled Hamidouche, Brad Benton, Maurício Breternitz, Steven K. Reinhardt, Lizy K. John:
GPU triggered networking for intra-kernel communications. SC 2017: 22 - 2016
- [j45]Muhammad Faisal Iqbal, Jim Holt, Jee Ho Ryoo, Gustavo de Veciana, Lizy K. John:
Dynamic Core Allocation and Packet Scheduling in Multicore Network Processors. IEEE Trans. Computers 65(12): 3646-3660 (2016) - [c144]Jee Ho Ryoo, Mitesh R. Meswani, Reena Panda, Lizy K. John:
POSTER: SILC-FM: Subblocked InterLeaved Cache-Like Flat Memory Organization. PACT 2016: 435-437 - [c143]Alexander C. Shulyak, Lizy K. John:
Identifying performance bottlenecks in Hive: Use of processor counters. IEEE BigData 2016: 2109-2114 - [c142]Shuang Song, Xinnian Zheng, Andreas Gerstlauer, Lizy K. John:
Fine-grained power analysis of emerging graph processing workloads for cloud operations management. IEEE BigData 2016: 2121-2126 - [c141]Xinnian Zheng, Lizy K. John, Andreas Gerstlauer:
Accurate phase-level cross-platform power and performance estimation. DAC 2016: 4:1-4:6 - [c140]Shuang Song, Meng Li, Xinnian Zheng, Michael LeBeane, Jee Ho Ryoo, Reena Panda, Andreas Gerstlauer, Lizy K. John:
Proxy-Guided Load Balancing of Graph Processing Workloads on Heterogeneous Clusters. ICPP 2016: 77-86 - [c139]Jiajun Wang, Ahmed Khawaja, George Biros, Andreas Gerstlauer, Lizy K. John:
Optimizing GPGPU Kernel Summation for Performance and Energy Efficiency. ICPP Workshops 2016: 123-132 - [c138]Reena Panda, Yasuko Eckert, Nuwan Jayasena, Onur Kayiran, Michael Boyer, Lizy Kurian John:
Prefetching Techniques for Near-memory Throughput Processors. ICS 2016: 40:1-40:14 - [c137]Seogoo Lee, Dongwook Lee, Kyungtae Han, Emily Shriver, Lizy K. John, Andreas Gerstlauer:
Statistical quality modeling of approximate hardware. ISQED 2016: 163-168 - [c136]Mochamad Asri, Ardavan Pedram, Lizy K. John, Andreas Gerstlauer:
Simulator calibration for accelerator-rich architecture studies. SAMOS 2016: 88-95 - [c135]Reena Panda, Xinnian Zheng, Shuang Song, Jee Ho Ryoo, Michael LeBeane, Andreas Gerstlauer, Lizy K. John:
Genesys: Automatically generating representative training sets for predictive benchmarking. SAMOS 2016: 116-123 - [c134]Michael LeBeane, Brandon Potter, Abhisek Pan, Alexandru Dutu, Vinay Agarwala, Wonchan Lee, Deepak Majeti, Bibek Ghimire, Eric Van Tassell, Samuel Wasmundt, Brad Benton, Maurício Breternitz, Michael L. Chu, Mithuna Thottethodi, Lizy K. John, Steven K. Reinhardt:
Extended task queuing: active messages for heterogeneous systems. SC 2016: 933-944 - 2015
- [j44]Zhibin Yu, Lieven Eeckhout, Nilanjan Goswami, Tao Li, Lizy K. John, Hai Jin, Cheng-Zhong Xu, Junmin Wu:
GPGPU-MiniBench: Accelerating GPGPU Micro-Architecture Simulation. IEEE Trans. Computers 64(11): 3153-3166 (2015) - [j43]Arun Arvind Nair, Stijn Eyerman, Jian Chen, Lizy Kurian John, Lieven Eeckhout:
Mechanistic Modeling of Architectural Vulnerability Factor. ACM Trans. Comput. Syst. 32(4): 11:1-11:32 (2015) - [c133]Rui Han, Shulin Zhan, Chenrong Shao, Junwei Wang, Lizy K. John, Jiangtao Xu, Gang Lu, Lei Wang:
BigDataBench-MT: A Benchmark Tool for Generating Realistic Mixed Data Center Workloads. BPOE 2015: 10-21 - [c132]Dongwook Lee, Lizy K. John, Andreas Gerstlauer:
Dynamic power and performance back-annotation for fast and accurate functional hardware simulation. DATE 2015: 1126-1131 - [c131]Dongwook Lee, Taemin Kim, Kyungtae Han, Yatin Hoskote, Lizy K. John, Andreas Gerstlauer:
Learning-Based Power Modeling of System-Level Black-Box IPs. ICCAD 2015: 847-853 - [c130]Jee Ho Ryoo, Saddam Jamil Quirem, Michael LeBeane, Reena Panda, Shuang Song, Lizy K. John:
GPGPU Benchmark Suites: How Well Do They Sample the Performance Spectrum? ICPP 2015: 320-329 - [c129]Wooseok Lee, Youngchun Kim, Jee Ho Ryoo, Dam Sunwoo, Andreas Gerstlauer, Lizy K. John:
PowerTrain: A learning-based calibration of McPAT power models. ISLPED 2015: 189-194 - [c128]Xinnian Zheng, Pradeep Ravikumar, Lizy K. John, Andreas Gerstlauer:
Learning-based analytical cross-platform performance prediction. SAMOS 2015: 52-59 - [c127]Jee Ho Ryoo, Karthik Ganesan, Yao-Min Chen, Lizy Kurian John:
i-MIRROR: A Software Managed Die-Stacked DRAM-Based Memory Subsystem. SBAC-PAD 2015: 82-89 - [c126]Michael LeBeane, Jee Ho Ryoo, Reena Panda, Lizy Kurian John:
Watt Watcher: Fine-Grained Power Estimation for Emerging Workloads. SBAC-PAD 2015: 106-113 - [c125]Reena Panda, Christopher Erb, Michael LeBeane, Jee Ho Ryoo, Lizy Kurian John:
Performance Characterization of Modern Databases on Out-of-Order CPUs. SBAC-PAD 2015: 114-121 - [c124]Michael LeBeane, Shuang Song, Reena Panda, Jee Ho Ryoo, Lizy K. John:
Data partitioning strategies for graph workloads on heterogeneous clusters. SC 2015: 56:1-56:12 - [e2]Lizy K. John, Connie U. Smith, Kai Sachs, Catalina M. Lladó:
Proceedings of the 6th ACM/SPEC International Conference on Performance Engineering, Austin, TX, USA, January 31 - February 4, 2015. ACM 2015, ISBN 978-1-4503-3248-4 [contents] - [i2]Rui Han, Shulin Zhan, Chenrong Shao, Junwei Wang, Jiangtao Xu, Lizy K. John, Lei Wang, Jianfeng Zhan:
BigDataBench-MT: A Benchmark Tool for Generating Realistic Mixed Data Center Workloads. CoRR abs/1504.02205 (2015) - 2014
- [j42]Jian Chen, Arun Arvind Nair, Lizy K. John:
Predictive Heterogeneity-Aware Application Scheduling for Chip Multiprocessors. IEEE Trans. Computers 63(2): 435-447 (2014) - [j41]Karthik Ganesan, Lizy Kurian John:
Automatic Generation of Miniaturized Synthetic Proxies for Target Applications to Efficiently Design Multicore Processors. IEEE Trans. Computers 63(4): 833-846 (2014) - [j40]Dimitris Kaseridis, Muhammad Faisal Iqbal, Lizy Kurian John:
Cache Friendliness-Aware Managementof Shared Last-Level Caches for HighPerformance Multi-Core Systems. IEEE Trans. Computers 63(4): 874-887 (2014) - [c123]Ahmed Khawaja, Jiajun Wang, Andreas Gerstlauer, Lizy K. John, Dhairya Malhotra, George Biros:
Performance analysis of HPC applications with irregular tree data structures. ICPADS 2014: 418-425 - [c122]Jee Ho Ryoo, Michael LeBeane, Muhammad Faisal Iqbal, Lizy K. John:
Control flow behavior of cloud workloads. IISWC 2014: 71-73 - [c121]Reena Panda, Lizy Kurian John:
Data analytics workloads: Characterization and similarity analysis. IPCCC 2014: 1-9 - [c120]Darshan Gandhi, Andreas Gerstlauer, Lizy K. John:
FastSpot: Host-compiled thermal estimation for early design space exploration. ISQED 2014: 625-632 - 2013
- [j39]Youngtaek Kim, Lizy Kurian John, Sanjay Pant, Srilatha Manne, Michael J. Schulte, William Lloyd Bircher, Madhu Saravana Sibi Govindan:
Automating Stressmark Generation for Testing Processor Voltage Fluctuations. IEEE Micro 33(4): 66-75 (2013) - [c119]Muhammad Umar Farooq, Khubaib, Lizy K. John:
Store-Load-Branch (SLB) predictor: A compiler assisted branch prediction for data dependent branches. HPCA 2013: 59-70 - [c118]Youngtaek Kim, Lizy Kurian John, Indrani Paul, Srilatha Manne, Michael J. Schulte:
Performance boosting under reliability and power constraints. ICCAD 2013: 334-341 - [c117]Muhammad Faisal Iqbal, Jim Holt, Jee Ho Ryoo, Lizy K. John, Gustavo de Veciance:
Flow Migration on Multicore Network Processors: Load Balancing While Minimizing Packet Reordering. ICPP 2013: 150-159 - [c116]Zhibin Yu, Lieven Eeckhout, Nilanjan Goswami, Tao Li, Lizy Kurian John, Hai Jin, Cheng-Zhong Xu:
Accelerating GPGPU architecture simulation. SIGMETRICS 2013: 331-332 - 2012
- [j38]William Lloyd Bircher, Lizy K. John:
Complete System Power Estimation Using Processor Performance Events. IEEE Trans. Computers 61(4): 563-577 (2012) - [c115]Muhammad Faisal Iqbal, Lizy Kurian John:
Efficient traffic aware power management in multicore communications processors. ANCS 2012: 123-134 - [c114]Muhammad Umar Farooq, Lei Chen, Lizy Kurian John:
Compiler Support for Value-Based Indirect Branch Prediction. CC 2012: 185-199 - [c113]Indrani Paul, Sudhakar Yalamanchili, Lizy K. John:
Performance impact of virtual machine placement in a datacenter. IPCCC 2012: 424-431 - [c112]Arun A. Nair, Stijn Eyerman, Lieven Eeckhout, Lizy Kurian John:
A first-order mechanistic model for architectural vulnerability factor. ISCA 2012: 273-284 - [c111]Muhammad Faisal Iqbal, Lizy K. John:
Power and performance analysis of network traffic prediction techniques. ISPASS 2012: 112-113 - [c110]Youngtaek Kim, Lizy Kurian John, Sanjay Pant, Srilatha Manne, Michael J. Schulte, William Lloyd Bircher, Madhu Saravana Sibi Govindan:
AUDIT: Stress Testing the Automatic Way. MICRO 2012: 212-223 - [e1]David R. Kaeli, Jerry Rolia, Lizy K. John, Diwakar Krishnamurthy:
Third Joint WOSP/SIPEW International Conference on Performance Engineering, ICPE'12, Boston, MA, USA - April 22 - 25, 2012. ACM 2012, ISBN 978-1-4503-1202-8 [contents] - 2011
- [j37]William Lloyd Bircher, Lizy Kurian John:
Core-Level Activity Prediction for Multicore Power Management. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(3): 218-227 (2011) - [j36]Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John:
Coordinating DRAM and Last-Level-Cache Policies with the Virtual Write Queue. IEEE Micro 31(1): 90-98 (2011) - [c109]Dimitris Kaseridis, Muhammad Faisal Iqbal, Jeffrey Stuecheli, Lizy Kurian John:
MCFQ: Leveraging Memory-level Parallelism and Application's Cache Friendliness for Efficient Management of Quasi-partitioned Last-level Caches. PACT 2011: 205-206 - [c108]Jian Chen, Lizy Kurian John:
Predictive coordination of multiple on-chip resources for chip multiprocessors. ICS 2011: 192-201 - [c107]Zhibin Yu, Hai Jin, Nilanjan Goswami, Tao Li, Lizy K. John:
Hierarchically characterizing CUDA program behavior. IISWC 2011: 76 - [c106]Jian Chen, Lizy K. John:
Autocorrelation analysis: A new and improved method for branch predictability characterization. IISWC 2011: 194-203 - [c105]Youngtaek Kim, Lizy Kurian John:
Automated di/dt stressmark generation for microprocessor power delivery networks. ISLPED 2011: 253-258 - [c104]Dimitris Kaseridis, Jeffrey Stuecheli, Lizy Kurian John:
Minimalist open-page: a DRAM page-mode scheduling policy for the many-core era. MICRO 2011: 24-35 - [c103]Karthik Ganesan, Lizy K. John:
MAximum Multicore POwer (MAMPO): an automatic multithreaded synthetic power virus generation framework for multicore systems. SC 2011: 53:1-53:12 - [c102]Jian Chen, Lizy Kurian John, Dimitris Kaseridis:
Modeling program resource demand using inherent program characteristics. SIGMETRICS 2011: 1-12 - [c101]Jian Chen, Lizy Kurian John:
Autocorrelation analysis: a new and improved method for measuring branch predictability. SIGMETRICS 2011: 153-154 - [c100]Lizy Kurian John:
Proprietary code to non-proprietary benchmarks: synthesis techniques for scalable benchmarks. ICPE 2011: 1-2 - 2010
- [c99]Karthik Ganesan, Jungho Jo, William Lloyd Bircher, Dimitris Kaseridis, Zhibin Yu, Lizy K. John:
System-level max power (SYMPO): a systematic approach for escalating system-level power consumption using synthetic benchmarks. PACT 2010: 19-28 - [c98]Muhammad Umar Farooq, Lei Chen, Lizy Kurian John:
Value Based BTB Indexing for indirect jump prediction. HPCA 2010: 1-11 - [c97]Dimitris Kaseridis, Jeffrey Stuecheli, Jian Chen, Lizy Kurian John:
A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large CMP systems. HPCA 2010: 1-11 - [c96]Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John:
The virtual write queue: coordinating DRAM and last-level cache policies. ISCA 2010: 72-82 - [c95]William Lloyd Bircher, Lizy K. John:
Predictive Power Management for Multi-core Processors. ISCA Workshops 2010: 243-255 - [c94]Karthik Ganesan, Jungho Jo, Lizy K. John:
Synthesizing memory-level parallelism aware miniature clones for SPEC CPU2006 and ImplantBench workloads. ISPASS 2010: 33-44 - [c93]Zhibin Yu, Hai Jin, Jian Chen, Lizy K. John:
CantorSim: Simplifying Acceleration of Micro-architecture Simulations. MASCOTS 2010: 370-377 - [c92]Arun A. Nair, Lizy Kurian John, Lieven Eeckhout:
AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors. MICRO 2010: 125-136 - [c91]Jeffrey Stuecheli, Dimitris Kaseridis, Hillery C. Hunter, Lizy K. John:
Elastic Refresh: Techniques to Mitigate Refresh Penalties in High Density Memory. MICRO 2010: 375-384
2000 – 2009
- 2009
- [j35]Ciji Isen, Hari Angepat, Lizy K. John, Jung Pil Choi, Hyo Jung Song:
Embedded Java benchmark analysis on the ARM processor. Int. J. Embed. Syst. 4(1): 40-53 (2009) - [j34]Byeong Kil Lee, Lizy K. John:
Hardware Acceleration for Media/Transaction Applications in Network Processors. IEEE Trans. Very Large Scale Integr. Syst. 17(12): 1691-1697 (2009) - [c90]Muhammad Umar Farooq, Lizy K. John:
Loop-Aware Instruction Scheduling with Dynamic Contention Tracking for Tiled Dataflow Architectures. CC 2009: 190-203 - [c89]Jian Chen, Lizy Kurian John:
Efficient program scheduling for heterogeneous multi-core processors. DAC 2009: 927-930 - [c88]Muhammad Umar Farooq, Lizy Kurian John, Margarida F. Jacome:
Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. HiPEAC 2009: 324-338 - [c87]Dimitris Kaseridis, Jeffrey Stuecheli, Lizy K. John:
Bank-aware Dynamic Cache Partitioning for Multicore Architectures. ICPP 2009: 18-25 - [c86]Zhibin Yu, Hai Jin, Jian Chen, Lizy K. John:
TSS: Applying two-stage sampling in micro-architecture simulations. MASCOTS 2009: 1-9 - [c85]Ciji Isen, Lizy Kurian John:
ESKIMO: Energy savings using Semantic Knowledge of Inconsequential Memory Occupancy for DRAM subsystem. MICRO 2009: 337-346 - [c84]Ciji Isen, Lizy K. John, Eugene John:
A Tale of Two Processors: Revisiting the RISC-CISC Debate. SPEC Benchmark Workshop 2009: 57-76 - [c83]Karthik Ganesan, Deepak Panwar, Lizy K. John:
Generation, Validation and Analysis of SPEC CPU2006 Simulation Points Based on Branch, Memory and TLB Characteristics. SPEC Benchmark Workshop 2009: 121-137 - 2008
- [j33]Yue Luo, Ajay Joshi, Aashish Phansalkar, Lizy Kurian John, Joydeep Ghosh:
Analysing and improving clustering based sampling for microprocessor simulation. Int. J. High Perform. Comput. Netw. 5(4): 200-214 (2008) - [j32]Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John:
Distilling the essence of proprietary workloads into miniature benchmarks. ACM Trans. Archit. Code Optim. 5(2): 10:1-10:33 (2008) - [c82]Renato J. O. Figueiredo, P. Oscar Boykin, José A. B. Fortes, Tao Li, Jie-Kwon Peir, David Wolinsky, Lizy K. John, David R. Kaeli, David J. Lilja, Sally A. McKee, Gokhan Memik, Alain Roy, Gary S. Tyson:
Archer: A Community Distributed Computing Infrastructure for Computer Architecture Research and Education. CollaborateCom 2008: 70-84 - [c81]Ajay M. Joshi, Lieven Eeckhout, Lizy Kurian John, Ciji Isen:
Automated microprocessor stressmark generation. HPCA 2008: 229-239 - [c80]Arun A. Nair, Lizy K. John:
Simulation points for SPEC CPU 2006. ICCD 2008: 397-403 - [c79]Karthik Ganesan, Lizy Kurian John, Valentina Salapura, James C. Sexton:
A Performance Counter Based Workload Characterization on Blue Gene/P. ICPP 2008: 330-337 - [c78]William Lloyd Bircher, Lizy K. John:
Analysis of dynamic power management on multi-core processors. ICS 2008: 327-338 - [c77]Jian Chen, Lizy Kurian John:
Energy-aware application scheduling on a heterogeneous multi-core system. IISWC 2008: 5-13 - [c76]Ciji Isen, Lizy Kurian John, Jung Pil Choi, Hyo Jung Song:
On the representativeness of embedded Java benchmarks. IISWC 2008: 153-162 - [i1]Renato J. O. Figueiredo, P. Oscar Boykin, José A. B. Fortes, Tao Li, Jie-Kwon Peir, David Wolinsky, Lizy Kurian John, David R. Kaeli, David J. Lilja, Sally A. McKee, Gokhan Memik, Alain Roy, Gary S. Tyson:
Archer: A Community Distributed Computing Infrastructure for Computer Architecture Research and Education. CoRR abs/0807.1765 (2008) - 2007
- [j31]Aashish Phansalkar, Ajay Joshi, Lizy K. John:
Subsetting the SPEC CPU2006 benchmark suite. SIGARCH Comput. Archit. News 35(1): 69-76 (2007) - [j30]Tao Li, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio:
OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. IEEE Trans. Computers 56(1): 2-17 (2007) - [j29]Ajay Joshi, Yue Luo, Lizy K. John:
Applying Statistical Sampling for Fast and Efficient Simulation of Commercial Workloads. IEEE Trans. Computers 56(11): 1520-1533 (2007) - [c75]Ajay M. Joshi, Lieven Eeckhout, Lizy Kurian John:
Exploring the Application Behavior Space Using Parameterized Synthetic Benchmarks. PACT 2007: 412 - [c74]Aashish Phansalkar, Ajay Joshi, Lizy Kurian John:
Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite. ISCA 2007: 412-423 - [c73]William Lloyd Bircher, Lizy K. John:
Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events. ISPASS 2007: 158-168 - [c72]Jiajin Tu, Jian Chen, Lizy K. John:
Hardware Efficient Piecewise Linear Branch Predictor. VLSI Design 2007: 673-678 - 2006
- [j28]Joshua J. Yi, Lieven Eeckhout, David J. Lilja, Brad Calder, Lizy Kurian John, James E. Smith:
The Future of Simulation: A Field of Dreams. Computer 39(11): 22-29 (2006) - [j27]Tao Li, Lizy Kurian John:
Operating system power minimization through run-time processor resource adaptation. Microprocess. Microsystems 30(4): 189-198 (2006) - [j26]Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John:
Effective management of multiple configurable units using dynamic optimization. ACM Trans. Archit. Code Optim. 3(4): 477-501 (2006) - [j25]Ajay Joshi, Aashish Phansalkar, Lieven Eeckhout, Lizy Kurian John:
Measuring Benchmark Similarity Using Inherent Program Characteristics. IEEE Trans. Computers 55(6): 769-782 (2006) - [j24]Byeong Kil Lee, Lizy Kurian John, Eugene John:
Architectural enhancements for network congestion control applications. IEEE Trans. Very Large Scale Integr. Syst. 14(6): 609-615 (2006) - [j23]Madhavi Gopal Valluri, Lizy Kurian John, Heather Hanson:
Hybrid-Scheduling for Reduced Energy Consumption in High-Performance Processors. IEEE Trans. Very Large Scale Integr. Syst. 14(9): 1039-1043 (2006) - [c71]Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy Kurian John, Koen De Bosschere:
Performance prediction based on inherent program similarity. PACT 2006: 114-122 - [c70]Joshua J. Yi, Resit Sendag, Lieven Eeckhout, Ajay Joshi, David J. Lilja, Lizy Kurian John:
Evaluating Benchmark Subsetting Approaches. IISWC 2006: 93-104 - [c69]Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John:
Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. IISWC 2006: 105-115 - [c68]Shiwen Hu, Lizy Kurian John:
Avoiding store misses to fully modified cache blocks. IPCCC 2006 - [c67]Tao Li, Lizy K. John:
OS-aware tuning: improving instruction cache energy efficiency on system workloads. IPCCC 2006 - [c66]William Lloyd Bircher, Lizy K. John:
Power phase variation in a commercial server workload. ISLPED 2006: 350-353 - [c65]Ajay Joshi, Joshua J. Yi, Robert H. Bell Jr., Lieven Eeckhout, Lizy Kurian John, David J. Lilja:
Evaluating the efficacy of statistical simulation for design space exploration. ISPASS 2006: 70-79 - [c64]Robert H. Bell Jr., Rajiv R. Bhatia, Lizy K. John, Jeff Stuecheli, John Griswell, Paul Tu, Louis Capps, Anton Blanchard, Ravel Thai:
Automatic testcase synthesis and performance model validation for high performance PowerPC processors. ISPASS 2006: 154-165 - [c63]Shiwen Hu, Lizy Kurian John:
Impact of virtual execution environments on processor energy consumption and hardware adaptation. VEE 2006: 100-110 - 2005
- [j22]Lieven Eeckhout, Yue Luo, Koen De Bosschere, Lizy Kurian John:
BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation. Comput. J. 48(4): 451-459 (2005) - [j21]Yue Luo, Lizy Kurian John, Lieven Eeckhout:
SMA: A Self-Monitored Adaptive Cache Warm-Up Scheme for Microprocessor Simulation. Int. J. Parallel Program. 33(5): 561-581 (2005) - [j20]Tao Li, Ravi Bhargava, Lizy Kurian John:
Adapting branch-target buffer to improve the target predictability of java code. ACM Trans. Archit. Code Optim. 2(2): 109-130 (2005) - [j19]Byeong Kil Lee, Lizy Kurian John:
Implications of Executing Compression and Encryption Applications on General Purpose Processors. IEEE Trans. Computers 54(7): 917-922 (2005) - [j18]Juan Rubio, Lizy Kurian John:
Reducing Server Data Traffic Using a Hierarchical Computation Model. IEEE Trans. Parallel Distributed Syst. 16(10): 933-943 (2005) - [c62]Byeong Kil Lee, Lizy Kurian John, Eugene John:
Architectural Support for Accelerating Congestion Control Applications in Network Processors. ASAP 2005: 169-178 - [c61]Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John:
Effective Adaptive Computing Environment Management via Dynamic Optimization. CGO 2005: 63-73 - [c60]Yue Luo, Lizy Kurian John:
Simulating Commercial Java Throughput Workloads: A Case Study. ICCD 2005: 393-398 - [c59]Robert H. Bell Jr., Lizy Kurian John:
Improved automatic testcase synthesis for performance model validation. ICS 2005: 111-120 - [c58]Madhavi Gopal Valluri, Lizy Kurian John, Kathryn S. McKinley:
Low-power, low-complexity instruction issue using compiler assistance. ICS 2005: 209-218 - [c57]Yue Luo, Lizy Kurian John:
On sampling unit size in sampled microprocessor simulation. IPCCC 2005: 81-90 - [c56]William Lloyd Bircher, M. Valluri, J. Law, Lizy K. John:
Runtime identification of microprocessor energy saving opportunities. ISLPED 2005: 275-280 - [c55]Aashish Phansalkar, Ajay Joshi, Lieven Eeckhout, Lizy Kurian John:
Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites. ISPASS 2005: 10-20 - [c54]Yue Luo, Ajay Joshi, Aashish Phansalkar, Lizy Kurian John, Joydeep Ghosh:
Analyzing and Improving Clustering Based Sampling for Microprocessor Simulation. SBAC-PAD 2005: 193-200 - 2004
- [j17]Yue Luo, Lizy K. John:
Efficiently Evaluating Speedup Using Sampled Processor Simulation. IEEE Comput. Archit. Lett. 3 (2004) - [j16]Doug Burger, Stephen W. Keckler, Kathryn S. McKinley, Michael Dahlin, Lizy Kurian John, Calvin Lin, Charles R. Moore, James H. Burrill, Robert G. McDonald, William Yode:
Scaling to the End of Silicon with EDGE Architectures. Computer 37(7): 44-55 (2004) - [j15]Lizy Kurian John:
More on finding a single number to indicate overall performance of a benchmark suite. SIGARCH Comput. Archit. News 32(1): 3-8 (2004) - [j14]Yue Luo, Lizy Kurian John:
Locality-Based Online Trace Compression. IEEE Trans. Computers 53(6): 723-731 (2004) - [c53]Juan Rubio, Lizy Kurian John:
Analysis of the Execution of a Next Generation Application on Superscalar and Grid Processors. ICPADS 2004: 307-314 - [c52]Lieven Eeckhout, Robert H. Bell Jr., Bastiaan Stougie, Koen De Bosschere, Lizy Kurian John:
Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies. ISCA 2004: 350-363 - [c51]Yue Luo, Lizy Kurian John, Lieven Eeckhout:
Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. SBAC-PAD 2004: 10-17 - [c50]Juan Rubio, Charles Lefurgy, Lizy Kurian John:
Improving Server Performance on Transaction Processing Workloads by Enhanced Data Placement. SBAC-PAD 2004: 84-91 - 2003
- [j13]Yue Luo, Juan Rubio, Lizy Kurian John, Pattabi Seshadri, Alex E. Mericas:
Benchmarking Internet Servers on Superscalar Machines. Computer 36(2): 34-40 (2003) - [j12]Shiwen Hu, Ravi Bhargava, Lizy Kurian John:
The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism. J. Instr. Level Parallelism 5 (2003) - [j11]Deependra Talla, Lizy Kurian John, Doug Burger:
Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. IEEE Trans. Computers 52(8): 1015-1031 (2003) - [c49]Byeong Kil Lee, Lizy Kurian John:
NpBench: A Benchmark Suite for Control plane and Data plane Applications for Network Processors. ICCD 2003: 226-233 - [c48]Ravi Bhargava, Lizy Kurian John:
Improving Dynamic Cluster Assignment for Clustered Trace Cache Processors. ISCA 2003: 264-274 - [c47]Tao Li, Lizy Kurian John:
Routine based OS-aware microprocessor resource adaptation for run-time operating system power saving. ISLPED 2003: 241-246 - [c46]Soontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John:
On load latency in low-power caches. ISLPED 2003: 258-261 - [c45]Madhavi Gopal Valluri, Lizy Kurian John, Heather Hanson:
Exploiting compiler-generated schedules for energy savings in high-performance processors. ISLPED 2003: 414-419 - [c44]Tao Li, Lizy Kurian John:
Run-time modeling and estimation of operating system power consumption. SIGMETRICS 2003: 160-171 - [c43]Robert H. Bell Jr., Lizy Kurian John:
Interface Design Techniques for Single-Chip Systems. VLSI Design 2003: 388-394 - 2002
- [c42]Byeong Kil Lee, Lizy Kurian John:
Implications of Programmable General Purpose Processors for Compression/Encryption Applications. ASAP 2002: 233-242 - [c41]Tao Li, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio:
Understanding and improving operating system effects in control flow prediction. ASPLOS 2002: 68-80 - [c40]Tao Li, Ravi Bhargava, Lizy Kurian John:
Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. HiPC 2002: 597-608 - [c39]Sudhanva Gurumurthi, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Tao Li, Lizy Kurian John:
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach. HPCA 2002: 141-150 - [c38]Ravi Bhargava, Lizy Kurian John:
Latency and energy aware value prediction for high-frequency processors. ICS 2002: 45-56 - [c37]Tao Li, Lizy Kurian John, Robert H. Bell Jr.:
Modeling and Evaluation of Control Flow Prediction Schemes Using Complete System Simulation and Java Workloads. MASCOTS 2002: 391-400 - 2001
- [j10]Deependra Talla, Lizy Kurian John:
MediaBreeze: a decoupled architecture for accelerating multimedia applications. SIGARCH Comput. Archit. News 29(5): 62-67 (2001) - [j9]Ramesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam, Juan Rubio, Jyotsna Sabarinathan:
Java Runtime Systems: Characterization and Architectural Implications. IEEE Trans. Computers 50(2): 131-146 (2001) - [j8]Tao Li, Lizy Kurian John:
ADir_pNB: A Cost-Effective Way to Implement Full Map Directory-Based Cache Coherence Protocols. IEEE Trans. Computers 50(9): 921-934 (2001) - [c36]Deependra Talla, Lizy Kurian John:
Cost-effective Hardware Acceleration of Multimedia Applications. ICCD 2001: 415-424 - [c35]Ramesh Radhakrishnan, Ravi Bhargava, Lizy Kurian John:
Improving Java performance using hardware translation. ICS 2001: 427-439 - [c34]Tao Li, Lizy Kurian John:
Understanding control flow transfer and its predictability in java processing. ISPASS 2001: 65-76 - [c33]Yue Luo, Lizy Kurian John:
Workload characterization of multithreaded java servers. ISPASS 2001: 128-136 - 2000
- [j7]Lizy Kurian John:
Data Placement Schemes to Reduce Conflicts in Interleaved Memories. Comput. J. 43(2): 138-151 (2000) - [c32]Ramesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam:
Architectural Issues in Java Runtime Systems. HPCA 2000: 387-398 - [c31]Deependra Talla, Lizy Kurian John, Viktor S. Lapinskii, Brian L. Evans:
Evaluating Signal Processing and Multimedia Applications on SIMD, VLIW and Superscalar Architectures. ICCD 2000: 163-172 - [c30]Tao Li, Lizy Kurian John, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Jyotsna Sabarinathan, Anupama Murthy:
Using complete system simulation to characterize SPECjvm98 benchmarks. ICS 2000: 22-33 - [c29]Ramesh Radhakrishnan, Deependra Talla, Lizy Kurian John:
Allowing for ILP in an embedded Java processor. ISCA 2000: 294-305 - [c28]Ravi Bhargava, Lizy K. John:
Issues in the design of store buffers in dynamically scheduled processors. ISPASS 2000: 76-87
1990 – 1999
- 1999
- [j6]Lizy Kurian John, Tao Li, Akila Subramanian:
Annex cache: a cache assist to implement selective caching. Microprocess. Microsystems 23(8-9): 537-551 (1999) - [j5]Lizy Kurian John:
Memory Chips with Adjustable Configurations. VLSI Design 10(2): 203-215 (1999) - [c27]Ramesh Radhakrishnan, Lizy Kurian John:
A Performance Study of Modern Web Server Applications. Euro-Par 1999: 239-247 - [c26]Deependra Talla, Lizy Kurian John:
Performance Evaluation and Benchmarking of Native Signal Processing. Euro-Par 1999: 266-270 - [c25]R. Shalem, Lizy Kurian John, Eugene John:
A Novel Low Power Energy Recovery Full Adder Cell. Great Lakes Symposium on VLSI 1999: 380- - [c24]Mike Clark, Lizy Kurian John:
Performance Evaluation of Configurable Hardware Features on the AMD-K5. ICCD 1999: 102-107 - [c23]Srivatsan Srinivasan, Lizy Kurian John:
On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. ICCD 1999: 124-130 - [c22]Ramesh Radhakrishnan, Juan Rubio, Lizy Kurian John:
Characterization of Java Applications at Bytecode and Ultra-SPARC Machine Code Levels. ICCD 1999: 281-284 - [c21]Huy Nguyen, Lizy Kurian John:
Exploiting SIMD parallelism in DSP and multimedia algorithms using the AltiVec technology. International Conference on Supercomputing 1999: 11-20 - [c20]Ravi Bhargava, Lizy Kurian John, Francisco Matus:
Accurately modeling speculative instruction fetching in trace-driven simulation. IPCCC 1999: 65-71 - [c19]Da-Chih David Tang, Ann Marie Grizzaffi Maynard, Lizy Kurian John:
Contrasting branch characteristics and branch predictor performance of C++ and C programs. IPCCC 1999: 275-283 - [c18]Srivatsan Srinivasan, Parminder Singh Chhabra, Praveen Kumar Jaini, Adnan Aziz, Lizy Kurian John:
Formal Verification of a Snoop-Based Cache Coherence Protocol Using Symbolic Model Checking. VLSI Design 1999: 288-293 - 1998
- [j4]Lizy Kurian John, E. John:
A dynamically reconfigurable interconnect for array processors. IEEE Trans. Very Large Scale Integr. Syst. 6(1): 150-157 (1998) - [c17]Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John:
Modeling and Analysis of The Difference-Bit Cache. Great Lakes Symposium on VLSI 1998: 140-145 - [c16]Eugene John, Fred W. Hudson, Lizy K. John:
Hybrid Tree: A Scalable Optoelectronic Interconnection Network for Parallel Computing. HICSS (7) 1998: 466-474 - [c15]Ramesh Radhakrishnan, Lizy Kurian John:
Execution characteristics of object oriented programs on the UltraSPARC-II. HiPC 1998: 202-211 - [c14]Ravi Bhargava, Lizy Kurian John, Brian L. Evans, Ramesh Radhakrishnan:
Evaluating MMX Technology Using DSP and Multimedia Applications. MICRO 1998: 37-46 - [c13]Gregory E. Beers, Lizy Kurian John:
Novel Memory Bus Driver/Receiver Architecture for Higher Throughput. VLSI Design 1998: 259-264 - [c12]Lizy Kurian John:
The undergraduate curriculum in the electrical and computer engineering department at the University of Texas at Austin. WCAE@ISCA 1998: 26 - 1997
- [c11]Lizy Kurian John, Akila Subramanian:
Design and Performance Evaluation of a Cache Assist to implement Selective Caching. ICCD 1997: 510-518 - 1996
- [j3]Lizy Kurian John, Yu-cheng Liu:
Performance Model for a Prioritized Multiple-Bus Multiprocessor System. IEEE Trans. Computers 45(5): 580-588 (1996) - [c10]Lizy K. John, Ramesh Radhakrishnan:
Improving the parallelism and concurrency in decoupled architectures. SPDP 1996: 130-137 - [c9]Lizy Kurian John:
VaWiRAM: a variable width random access memory module. VLSI Design 1996: 219-224 - 1995
- [c8]Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor:
A comparative evaluation of software techniques to hide memory latency. HICSS (1) 1995: 229-241 - [c7]Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor:
Program Balance and Its Impact on High Performance RISC Architectures. HPCA 1995: 370-379 - [c6]Lizyamma Kurian, Daniel Brewer, Eugene John:
Design of a highly reconfigurable interconnect for array processors. VLSI Design 1995: 321-325 - 1994
- [j2]Lizyamma Kurian, Paul T. Hulina, Lee D. Coraor:
Memory Latency Effects in Decoupled Architectures. IEEE Trans. Computers 43(10): 1129-1139 (1994) - [c5]Lizyamma Kurian, Bermjae Choi, Paul T. Hulina, Lee D. Coraor:
Module Partitioning and Interlaced Data Placement Schemes to Reduce Conflicts in Interleaved Memories. ICPP (1) 1994: 212-219 - [c4]Lizyamma Kurian, Yu-cheng Liu:
Performance model for a prioritized multiple-bus multiprocessor system. SPDP 1994: 577-584 - 1992
- [j1]Paul T. Hulina, Lizyamma Kurian, Eugene B. John, Lee D. Coraor:
Design and VLSI implementation of an access processor for a decoupled architecture. Microprocess. Microsystems 16(5): 237-247 (1992) - [c3]Lizyamma Kurian, Paul T. Hulina, Lee D. Coraor:
Memory Latency Effects in Decoupled Architectures With a Single Data Memory Module. ISCA 1992: 236-245 - 1991
- [c2]Lizyamma Kurian, Matthew Thazhuthaveetil:
Effect of Hot Spots on Multiprocessor Systems Using Circuit Switched Interconnection Networks. ICPP (1) 1991: 554-557 - [c1]Lizyamma Kurian, Paul T. Hulina, Lee D. Coraor, Dhamir N. Mannai:
Classification and Performance Evaluation of Instruction Buffering Techniques. ISCA 1991: 150-159
Coauthor Index
aka: Alan Tendler Leibel Bacellar
aka: Maurício Breternitz Jr.
aka: Diego L. C. Dutra
aka: Felipe Maia Galvão França
aka: Eugene B. John
aka: Priscila Machado Vieira Lima
aka: Igor Dantas dos Santos Miranda
aka: Jeeho Ryoo
aka: Vijaykrishnan Narayanan
aka: Luis Armando Quintanilla Villon
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-12-13 19:13 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint