Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/996070.1009888acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Vectorless Analysis of Supply Noise Induced Delay Variation

Published: 09 November 2003 Publication History
  • Get Citation Alerts
  • Abstract

    The impact of power supply integrity on a design has become acritical issue, not only for functional verification, but also for performanceverification. Traditional analysis has typically applied a worstcase voltage drop at all points along a circuit path which leads to avery conservative analysis. We also show that in certain cases, thetraditional analysis can be optimistic, since it ignores the possibilityof voltage shifts between driver and receiver gates. In this paper, wepropose a new analysis approach for computing the maximum pathdelay under power supply fluctuations. Our analysis is based on theuse of superposition, both spatially across different circuit blocks,and temporally in time. We first present an accurate model of pathdelay variations under supply drops, considering both the effect oflocal supply reduction at individual gates and voltage shifts betweendriver/receiver pairs. We then formulate the path delay maximizationproblem as a constrained linear optimization problem, consideringthe effect of both IR drop and LdI/dt drops. We show how correlationsbetween currents of different circuit blocks can be incorporatedin this formulation using linear constraints. The proposed methodswere implemented and tested on benchmark circuits, including anindustrial power supply grid and we demonstrate a significantimprovement in the worst-case path delay increase.

    References

    [1]
    {1} G. Steele, D. Overhauser, S. Rochel and Z, Hussain, "Full-chip verification methods for DSM power distribution systems," in DAC, 1998.
    [2]
    {2} H. Chen and D. Ling, "Power supply noise analysis methodology for deep-submicron VLSI chip design," in DAC, pp. 638-643, 1997.
    [3]
    {3} S. Zhao, K. Roy and C. K. Koh, "Frequency domain analysis of switching noise on power supply network," in ICCAD, pp. 487-492, 2000.
    [4]
    {4} R. Panda, D. Blaauw, R. Chaudhry, V. Zolotov, B. Young and R. Ramaraju, "Model and analysis for combined package and on-chip power grid simulation," in Proc. of the ISLPED, pp. 179-184, 2000.
    [5]
    {5} S. R. Nassif and J. N. Kozhaya, "Fast power grid simulation," in Proc. Design Automation Conference, pp. 156-161, 2000.
    [6]
    {6} S. Taylor, "The challenge of designing global systems," in Proc. IEEE Custom Integrated Circuits Conference, pp. 429-435, 1999.
    [7]
    {7} M. Zhao, R. V. Panda, S. S. Sapatnekar and D. Blaauw, "Hierarchical analysis of power distribution networks," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 159-168, 2002.
    [8]
    {8} H. Kriplani, F. Najm and I. Hajj, "Pattern independent minimum current estimation in power and ground buses of CMOS VLSI circuits," IEEE Trans. on Computer-Aided Design, pp. 998-1012, 1995.
    [9]
    {9} A. Krstic and K. Cheng, "Vector generation for maximum instantaneous current through supply lines for CMOS circuits," in Proc. Design Automation Conference, pp. 383-388, 1997.
    [10]
    {10} Y. M. Jiang, T. Young and K. Cheng, "VIP - an input pattern generator for identifying critical voltage drop for deep submicron designs," Proc. ISLPED, pp. 156-161, 1999.
    [11]
    {11} S. Bobba and I. N. Hajj, "Maximum voltage variation in the power distribution network of VLSI circuits with RLC models," in Proc. Intl. Symposium of Low Power Electronics and Design, 2001.
    [12]
    {12} D. Sylvester and K. Keutzer, "Getting to the bottom of deep submicron," Proc. Computer-Aided Design, pp. 203-211, 1998.
    [13]
    {13} L. H. Chen, M. Sadowska and F. Brewer, "Coping with buffer delay change due to power and ground noise," Proc. DAC, 2002.
    [14]
    {14} Y. M. Jiang and K.T. Cheng, "Analysis of performance impact caused by power supply noise in deep submicron devices," Proc. Computer-Aided Design, pp. 760-765, 1999.
    [15]
    {15} A. Chandrakasan, W. J. Bowhill and F. Fox, Design of high performance microprocessor circuits. NY: IEEE Press, 2001.
    [16]
    {16} R. Panda, tutorial, "On chip inductance extraction and modelling," Intl. Symposium on Quality Electronics Design, tutorial.
    [17]
    {17} G. Bai, S. Bobba and I. N. Hajj "RC power bus maximum voltage drop in digital VLSI circuits," Intl. Symposium on Quality Electronics Design.

    Cited By

    View all
    • (2010)Gate delay estimation in STA under dynamic power supply noiseProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899899(775-780)Online publication date: 18-Jan-2010
    • (2009)Decoupling capacitor planning with analytical delay model on RLC power gridProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874825(839-844)Online publication date: 20-Apr-2009
    • (2009)New spare cell design for IR drop minimization in Engineering Change OrderProceedings of the 46th Annual Design Automation Conference10.1145/1629911.1630017(402-407)Online publication date: 26-Jul-2009
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '03: Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
    November 2003
    899 pages
    ISBN:1581137621

    Sponsors

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 09 November 2003

    Check for updates

    Qualifiers

    • Article

    Conference

    ICCAD03
    Sponsor:

    Acceptance Rates

    ICCAD '03 Paper Acceptance Rate 129 of 490 submissions, 26%;
    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 10 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2010)Gate delay estimation in STA under dynamic power supply noiseProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899899(775-780)Online publication date: 18-Jan-2010
    • (2009)Decoupling capacitor planning with analytical delay model on RLC power gridProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874825(839-844)Online publication date: 20-Apr-2009
    • (2009)New spare cell design for IR drop minimization in Engineering Change OrderProceedings of the 46th Annual Design Automation Conference10.1145/1629911.1630017(402-407)Online publication date: 26-Jul-2009
    • (2008)Transition-aware decoupling-capacitor allocation in power noise reductionProceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design10.5555/1509456.1509555(426-429)Online publication date: 10-Nov-2008
    • (2007)Modeling Power Supply Noise in Delay TestingIEEE Design & Test10.1109/MDT.2007.7624:3(226-234)Online publication date: 1-May-2007
    • (2007)Timing Analysis Considering Spatial Power/Ground Level VariationIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1093/ietfec/e90-a.12.2661E90-A:12(2661-2668)Online publication date: 1-Dec-2007
    • (2005)Static timing analysis considering power supply variationsProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129655(365-371)Online publication date: 31-May-2005
    • (2005)Timing analysis considering temporal supply voltage fluctuationProceedings of the 2005 Asia and South Pacific Design Automation Conference10.1145/1120725.1120833(1098-1101)Online publication date: 18-Jan-2005
    • (2005)IR Drop and Ground Bounce Awareness Timing ModelProceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design10.1109/ISVLSI.2005.44(226-231)Online publication date: 11-May-2005
    • (2005)Power supply selective mapping for accurate timing analysisProceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation10.1007/11556930_28(267-276)Online publication date: 21-Sep-2005
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media