Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/288548.288614acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free access

Getting to the bottom of deep submicron

Published: 01 November 1998 Publication History
First page of PDF

References

[1]
Semiconductor Industry Association, National Technology Roadmap fir Scmicondua~r~, 1997.
[2]
S. Devadas, A. Ghosh, and K. Keutzer, Logic S)'nth~,~~, McGraw-HiU, 1994.
[3]
N. Sherwani, Algorithms for DT_SI P~'aical D~,ign Automation, Kluwer, 1995.
[4]
C. Hu, "Device and Technology Impact on Low Power Electronics," in Low Power De.qgn Mahodologiea, ed. Jan Rabaey, Kluwer, pp. 21-35, 1996.
[5]
D. Edelstein et al., "'Full copper wiring in a sub-0.2.5 lam CMOS ULSI technology," Proa oflEDM, pp. 773-6, 1997.
[6]
S. Venkatesan et aZ, "A high-performance 1.8V, 0.2-1~m CMOS technology with copper metallization," Pro~ of IEDM, pp. 76909, 1997.
[7]
L. Su, et aL, "A high-performance 0.08 pan CMOS," Prec. of l~TSl S)mposium on Tecbnolo~); pp. 19.2-13,1996.
[8]
M. Rodder, et aL, "A 0.1 tim gate length CMOS technology with 30A gate dielectric for 1-1.5V applications," Pro,: oflEDM, pp. 223-996, 1997.
[9]
K. Rahmalg O.S. Nakagawa, S-Y. Oh, and J. Moll, "A scaling scheme for interconnect in deep submicron processes," Proc. of/EDM, pp. 245-8, 1995.
[10]
M. ~fiyamoro, T. Takeda, and T. Furusawa, "High-speed and low-power interconnect technology for sub-quarter-micron ASIC's," IEEE Transactions on Electron Detices, pp. 9_50-9_56, Feb. 1997.
[11]
E.M. Zielinsld, et aL, ''Damascene integration of copper and ultra-low-k xerogel for high performance interconnects," Pro~. of IEDM, pp. 936- 938,1997.
[12]
D. Sylvester, C. Hu, O.S. Nakagawa, and S-x\: Oh, "Interconnect scaling: signal integrity and performance in future high-speed CMOS designs," Proc. of I, ZSI Symposium on Technolog), pp. 42-3, 1998.
[13]
F. Dartu, and L. Pileggi, "Calculating worst-case gate delays due to dominant capacitance coupling," Proc. Of DAC, pp. 46-51, 199Z
[14]
G. Yee, R. Chandra, V. Ganesan, and C. Sechen, "kXrtre delay in the presence of crosstaltg" Pro,. of TAU, pp. 170-175, 1997.
[15]
C. Hu, "Gate o.,dde scaling limits and projection," Proa: of IEDM, pp. 319-39-2,9 1996.
[16]
N. Rohrer, et al., "A 480Ml-Iz RISC microprocessor in a 0.12 micron Left CMOS technology with copper interconnects," Pro.: oflSSCC, pp. 240-1, 1998.
[17]
J. Montana\o, et a~, "A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor," 1EEE Journal of Sob'd-State Gmdt.,; pp. 1703-1714, No,'. 1996.
[18]
BSIM3 version 3.1, user's manual, UC-Berkeley, 1997.
[19]
tL Payne, "Metal pitch effects in deep submicron IC design," Ekctronic Engineedng~ pp. 45-7, Jul. 1996.
[20]
T.tL Bednar, R.A. Piro, D.W. Stout, L. Wissel, and P.S. Zuchowski, "Technology-migratable ASIC library design," IBM Journal of Rest'arch and Development, pp. 377-385, Jul. 1996.
[21]
S-P. Jeng et aZ, "implementation of low-ctielectric constant materials for ULS circuit performance improvement," Pro~: of S)'m~odum on 1,7_SI Tecbnolody, System~, and Appk'cationo; pp. 164-168, 1995.
[22]
G.A. Sai-Halasz, "Performance trends in high-performance processors," Pro, oft& IEEE, pp. 20-36, Jan. 1995.
[23]
A. Deutsch, et aZ, "Modeling and characterization of long on-chip interconnecfions for high-performance microprocessors," IBM journal of Resean'b and Detdopment, pp. 547-567, Sept. 1995.
[24]
RAPHAEL user's manual, version 4.0, TMA, 1997.
[25]
p. Fisher and tL Nesbitt, "The test of time: Clock cycle estimation and test challenges for future microprocessors," IEEE Gn~its and Dedce.," Magaxffne, pp. 37-44, Mar. 1998.
[26]
P. Zarkesh-Ha, J.D. Meindl, "Stochastic net length distributions for global interconnects in a heterogeneous ~,stem-on-a-chip," Pro,. of VLSI S)mpo~ium on Tecbnolod); pp. 44-5, 1998.
[27]
D.A. Carlson, ILW. Castellno, and 1LO. Mueller, "Multimedia extensions for a 550-MHz RISC microprocessor," IEEE Journal of Sob'd.State O'rcuits, pp. 1618-1624, Nov. 1997.
[28]
O.S. Nakagawa, D. Sylvester, j.G. McBride, and S-Y. Oh, "Closed-form modeling of on-chlp crosstalk noise in deep-submicron ULSI interconnect," Heu'ktt-PackardJoumal, pp. 39-45, Aug. 1998.
[29]
R. Otten, "Global wires: harmful?," Pro.: oflSPD, pp. 104-109, 1998.
[30]
A.P. Chandrakasan, S. Sheng, and R.W. Broderson, "Low-power CMOS digital design," Pro:. of the IEEE, pp. 473-484, Apr. 1999_
[31]
D. IAu and C. Svensson, "Power consumption estimation in CMOS VLSI chips," IEEEJoumal ofSoEd-State G'nrdt., pp. 663-670, Jun. 1994.
[32]
H.J.M. Veendrick, "Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits," IEEE Journal of Sob'd. State G'n~its, pp. 468-473, Aug. 1984.
[33]
H.B. Bakoglu, O'n~its, Inten'onnectioro" and Packajngfor DT_SI, Addison- Wesley, 1990.

Cited By

View all
  • (2021)Physical Design and Implementation of Lakshya -Sub-system of Built in Self Test System2021 International Conference on Circuits, Controls and Communications (CCUBE)10.1109/CCUBE53681.2021.9702732(1-6)Online publication date: 23-Dec-2021
  • (2018)Application and Product-Volume-Specific Customization of BEOL Metal PitchIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.282838726:9(1627-1636)Online publication date: Sep-2018
  • (2018)PROBE: A Placement, Routing, Back-End-of-Line Measurement UtilityIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.275007237:7(1459-1472)Online publication date: Jul-2018
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '98: Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
November 1998
704 pages
ISBN:1581130082
DOI:10.1145/288548
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 November 1998

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. ASIC
  2. CMOS scaling
  3. gate delay
  4. interconnect modeling
  5. power dissipation
  6. signal integrity
  7. wirelength

Qualifiers

  • Article

Conference

ICCAD '98
Sponsor:
  • IEEE-EDS
  • SIGDA
  • IEEE-CAS
  • IEEE-CS
ICCAD '98: International Conference on Computer-Aided Design - 1998
November 8 - 12, 1998
California, San Jose, USA

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)81
  • Downloads (Last 6 weeks)18
Reflects downloads up to 12 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Physical Design and Implementation of Lakshya -Sub-system of Built in Self Test System2021 International Conference on Circuits, Controls and Communications (CCUBE)10.1109/CCUBE53681.2021.9702732(1-6)Online publication date: 23-Dec-2021
  • (2018)Application and Product-Volume-Specific Customization of BEOL Metal PitchIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.282838726:9(1627-1636)Online publication date: Sep-2018
  • (2018)PROBE: A Placement, Routing, Back-End-of-Line Measurement UtilityIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.275007237:7(1459-1472)Online publication date: Jul-2018
  • (2016)Design and analysis of an Amplifier circuit using Micron Technology2016 IEEE Region 10 Conference (TENCON)10.1109/TENCON.2016.7848605(3039-3044)Online publication date: Nov-2016
  • (2014)Horizontal benchmark extension for improved assessment of physical CAD researchProceedings of the 24th edition of the great lakes symposium on VLSI10.1145/2591513.2591540(27-32)Online publication date: 20-May-2014
  • (2014)Net-by-Net Wire OptimizationMulti-Net Optimization of VLSI Interconnect10.1007/978-1-4614-0821-5_5(43-61)Online publication date: 16-Oct-2014
  • (2014)Scaling Dependent Electrical Modeling of InterconnectsMulti-Net Optimization of VLSI Interconnect10.1007/978-1-4614-0821-5_3(17-34)Online publication date: 16-Oct-2014
  • (2014)An Overview of the VLSI Interconnect ProblemMulti-Net Optimization of VLSI Interconnect10.1007/978-1-4614-0821-5_1(1-9)Online publication date: 16-Oct-2014
  • (2013)Modeling symmetrical independent gate FinFET using predictive technology modelProceedings of the 23rd ACM international conference on Great lakes symposium on VLSI10.1145/2483028.2483114(299-304)Online publication date: 2-May-2013
  • (2013)Optimal placement of vertical connections in 3D Network-on-ChipJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2013.05.00259:7(441-454)Online publication date: 1-Aug-2013
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media