Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Bit Impact Factor: Towards making fair vulnerability comparison

Published: 01 August 2014 Publication History

Abstract

Reliability is becoming a major design concern in contemporary microprocessors since soft error rate is increasing due to technology scaling. Therefore, design time system vulnerability estimation is of paramount importance. Architectural Vulnerability Factor (AVF) is an early vulnerability estimation methodology. However, AVF considers that the value of a bit in a clock cycle is either required for Architecturally Correct Execution (i.e. ACE-bit) or not (i.e. unACE-bit); therefore, AVF cannot distinguish the vulnerability impact level of an ACE-bit. In this study, we present a new dimension which takes into account the vulnerability impact level of a bit. We introduce Bit Impact Factor metric which, we believe, will be helpful for extending AVF evaluation to provide a more accurate vulnerability analysis.

References

[1]
Baumann, R., Soft errors in advanced computer systems. IEEE Des. Test Comput. v22 i3. 258-266.
[2]
Ziegler, J.F., Curtis, H.W., Muhlfeld, H.P., Montrose, C.J. and Chin, B., Ibm experiments in soft fails in computer electronics (1978-1994). IBM J. Res. Develop. v40 i1. 3-18.
[3]
Borkar, S., Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro. v25 i6. 10-16.
[4]
S.S. Mukherjee, C. Weaver, J. Emer, S.K. Reinhardt, T. Austin, A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor, in: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003, pp. 29-41.
[5]
X. Li, S.V. Adve, P. Bose, J.A. Rivers, Architecture-level soft error analysis: examining the limits of common assumptions, in: Proceedings of International Conference on Dependable Systems and Networks, 2007, pp. 266-275.
[6]
Henning, J.L., SPEC CPU2006 benchmark descriptions. SIGARCH Comput. Archit. News. v34. 1-17.
[7]
J.J. Sharkey, D. Ponomarev, K. Ghose, M-sim: A Flexible, Multithreaded Architectural Simulation Environment, Technical Report CS-TR-05-DP01, Department of CS, SUNY - Binghamton, 2005.
[8]
H. Cho, S. Mirkhani, C.Y. Cher, J.A. Abraham, S. Mitra, Quantitative evaluation of soft error injection techniques for robust system design, in: Proceedings of the 50th Annual Design Automation Conference, 2013, pp. 101:1-101:10.
[9]
N.J. Wang, J. Quek, T.M. Rafacz, S.J. Patel, Characterizing the effects of transient faults on a high-performance processor pipeline, in: Proceedings of the 2004 International Conference on Dependable Systems and Networks, 2004, pp. 61-70.
[10]
M.L. Li, P. Ramachandran, U.R. Karpuzcu, S.K.S. Hari, S.V. Adve, Accurate microarchitecture-level fault modeling for studying hardware faults, in: HPCA, 2009, pp. 105-116.
[11]
N.J. Wang, A. Mahesri, S.J. Patel, Examining ace analysis reliability estimates using fault-injection, in: Proceedings of the 34th Annual International Symposium on Computer Architecture, 2007, pp. 460-469.
[12]
Biswas, A., Racunas, P., Emer, J. and Mukherjee, S., Computing accurate AVFs using ACE analysis on performance models: a rebuttal. IEEE Comput. Archit. Lett. v7 i1. 21-24.
[13]
A. Biswas, P. Racunas, R. Cheveresan, J. Emer, S.S. Mukherjee, Computing architectural vulnerability factors for address-based structures, in: Proceedings of the International Symposium on Computer Architecture (ISCA), 2005, pp. 532-543.
[14]
A. Biswas, C. Recchia, S.S. Mukherjee, V. Ambrose, L. Chan, A. Jaleel, et al. Explaining cache SER anomaly using DUE AVF measurement, in: International Symposium on High Performance Computer Architecture, 2010, pp. 1-12.
[15]
V. Sridharan, D.R. Kaeli, Eliminating microarchitectural dependency from architectural vulnerability, in: International Symposium on High Performance Computer Architecture, 2009, pp. 117-128.
[16]
J. Lee, A. Shrivastava, Static analysis to mitigate soft errors in register files, in: Proceedings of the Conference on Design, Automation and Test in Europe, 2009, pp. 1367-1372.
[17]
V. Sridharan, D.R. Kaeli, Using hardware vulnerability factors to enhance AVF analysis, in: Proceedings of the 37th Annual International Symposium on Computer Architecture, 2010, p. 461-472.
[18]
X. Fu, T. Li, J.A.B. Fortes, Soft error vulnerability aware process variation mitigation, in: International Conference on High-Performance Computer Architecture, 2009, pp. 93-104.
[19]
J.W. Sheaffer, D.P. Luebke, K. Skadron, The visual vulnerability spectrum: characterizing architectural vulnerability for graphics hardware, in: Proceedings of the 21st ACM SIGGRAPH/Eurographics Symposium on Graphics Hardware, 2006, pp. 9-16.
[20]
L. Duan, B. Li, L. Peng, Versatile prediction and fast estimation of architectural vulnerability factor from processor performance metrics, in: International Conference on High-Performance Computer Architecture, 2009, pp. 129-140.
[21]
X. Li, S.V. Adve, P. Bose, J.A. Rivers, Online estimation of architectural vulnerability factor for soft errors, in: Proceedings of the 35th Annual International Symposium on Computer Architecture, 2008, pp. 341-352.
[22]
K.R. Walcott, G. Humphreys, S. Gurumurthi, Dynamic prediction of architectural vulnerability from microarchitectural state, in: Proceedings of the 34th Annual International Symposium on Computer Architecture, 2007, pp. 516-527.
[23]
A.A. Nair, S. Eyerman, L. Eeckhout, L.K. John, A first-order mechanistic model for architectural vulnerability factor, in: Proceedings of the 39th Annual International Symposium on Computer Architecture, 2012, pp. 273-284.
[24]
A.A. Nair, L.K. John, L. Eeckhout, AVF stressmark: towards an automated methodology for bounding the worst-case vulnerability to soft errors, in: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010, pp. 125-136.

Cited By

View all
  • (2016)A Survey of Techniques for Modeling and Improving Reliability of Computing SystemsIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2015.242617927:4(1226-1238)Online publication date: 1-Apr-2016
  1. Bit Impact Factor: Towards making fair vulnerability comparison

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    Publisher

    Elsevier Science Publishers B. V.

    Netherlands

    Publication History

    Published: 01 August 2014

    Author Tags

    1. Architectural vulnerability factor
    2. Fault injection
    3. Soft errors
    4. Vulnerability

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 06 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)A Survey of Techniques for Modeling and Improving Reliability of Computing SystemsIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2015.242617927:4(1226-1238)Online publication date: 1-Apr-2016

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media