Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Interconnect intellectual property for network-on-chip (NoC)

Published: 01 February 2004 Publication History

Abstract

As technology scales down, the interconnect for on-chip global communication becomes the delay bottleneck. In order to provide well-controlled global wire delay and efficient global communication, a Network-on-Chip (NoC) architecture was proposed by different authors [Route packets, not wires: on-chip interconnection networks, in: Design Automation Conference, 2001, Proceedings, p. 684; Network on chip: an architecture for billion transistor era, in: Proceeding of the IEEE NorChip Conference, November 2000; Network on chip, in: Proceedings of the Conference Radio vetenskap och Kommunication, Stockholm, June 2002]. NoC uses Interconnect Intellectual Property (IIP) to connect different resources. Within an IIP, the switch has the central function. Depending on the network core of the NoC, the switch will have different architectures and implementations. This paper first briefly introduces the concept of NoC. It then studies NoC from an interconnect point of view and makes projections on future NoC parameters. At last, the IIP and its components are described, the switch is studied in more detail and a time-space-time (TST) switch designed for a circuit switched time-division multiplexing (TDM) NoC is proposed. This switch supports multicast traffic and is implemented with random access memory at the input and output. The input and output are then connected by a fully connected interconnect network.

References

[1]
{1} Y. Cao, C. Hu, X. Huang, A.B. Kahng, S. Muddu, D. Stroobandt, D. Sylvester, Effects of global interconnect optimizations on performance estimation of deep submicron design, in: Computer Aided Design, 2000, ICCAD-2000, IEEE/ACM International Conference on Computer Aided Design, 5-9 November 2000, pp. 56-61.
[2]
{2} W.J. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks, in: Design Automation Conference, 2001, Proceedings, pp. 684-689.
[3]
{3} T.F. Gonzalez, Multimessage multicasting: complexity and approximations, UCSB Department of Computer Science, Technical Report TRCS-96-15, July 1996.
[4]
{4} K. Goossens, Guaranteeing the quality of services in network on chip, in: Network on Chip, Kluwer, 2003 (Chapter 4). Available from 〈http://www.dcs.ed.ac.uk/ home/kgg/2003-networksonchip-chap4.pdf〉.
[5]
{5} P. Guerrier, A.A. Greiner, Generic architecture for on-chip packet-switched interconnections, in: Design, Automation and Test in Europe Conference and Exhibition 2000, Proceedings, pp. 250-256.
[6]
{6} R. Ho, K.W. Mai, M. Horowitz, The future of wires, Proceedings of the IEEE 89 (4) (2001).
[7]
{7} A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Öberg, M. Millberg, D. Lindqvist, Network on chip: an architecture for billion transistor era, in: Proceeding of the IEEE NorChip Conference, November 2000.
[8]
{8} A. Jantsch, Network on chip, in: Proceedings of the Conference Radio vetenskap och Kommunication, Stockholm, June 2002.
[9]
{9} J.F. Kurose, K.W. Ross, Computer Networking: A Top-Down Approach Featuring the Internet, Addison Wesley Longman, Inc., 2001.
[10]
{10} A. Maheshwari, S. Srinivasaraghavan, W. Burleson, Quantifying the impact of current-sensing on interconnect delay trends, in: ASIC/SOC Conference, 2002, 15th Annual IEEE International, 2002, pp. 461-465.
[11]
{11} E. Nilsson, Design and implementation of a hot-potato switch in network on chip, Master of Science thesis, Laboratory of Electronics and Computer Systems, Royal Institute of Technology (KTH), Sweden, June 2002.
[12]
{12} D. Pamunuwa, L.-R. Zheng, H. Tenhunen, Optimising bandwidth over deep sub-micron interconnect, in: Proceedings of the 2002 IEEE International Symposium on Circuits and Systems (ISCAS), Scottsdale, Arizona, USA, May 2002.
[13]
{13} H. Tenhunen, Workshop Systems on Chip, Systems in Package, ESSCIRC 2001, Villach Austria, September 2001.
[14]
{14} L.-R. Zheng, Design, analysis and integration of mixed-signal systems for signal and power integrity, Ph.D. thesis, Laboratory of Electronics and Computer Systems, Royal Institute of Technology (KTH), Sweden, 2001.
[15]
{15} L.-R. Zheng, Design and analysis of power integrity in deep submicron system-on-chip circuits, Analog Integrated Circuits and Signal Processing 30 (2002) 15-29.

Cited By

View all
  • (2018)Adaptive and deadlock-free tree-based multicast routing for networks-on-chipIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.201975818:7(1067-1080)Online publication date: 29-Dec-2018
  • (2011)An improved algorithm for slot selection in the Æthereal network-on-chipProceedings of the Fifth International Workshop on Interconnection Network Architecture: On-Chip, Multi-Chip10.1145/1930037.1930040(7-10)Online publication date: 23-Jan-2011
  • (2008)Virtual Circuit Tree MulticastingACM SIGARCH Computer Architecture News10.1145/1394608.138214136:3(229-240)Online publication date: 1-Jun-2008
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Journal of Systems Architecture: the EUROMICRO Journal
Journal of Systems Architecture: the EUROMICRO Journal  Volume 50, Issue 2-3
Special issue: Networks on chip
February 2004
101 pages

Publisher

Elsevier North-Holland, Inc.

United States

Publication History

Published: 01 February 2004

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 10 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Adaptive and deadlock-free tree-based multicast routing for networks-on-chipIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.201975818:7(1067-1080)Online publication date: 29-Dec-2018
  • (2011)An improved algorithm for slot selection in the Æthereal network-on-chipProceedings of the Fifth International Workshop on Interconnection Network Architecture: On-Chip, Multi-Chip10.1145/1930037.1930040(7-10)Online publication date: 23-Jan-2011
  • (2008)Virtual Circuit Tree MulticastingACM SIGARCH Computer Architecture News10.1145/1394608.138214136:3(229-240)Online publication date: 1-Jun-2008
  • (2008)Efficient unicast and multicast support for CMPsProceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2008.4771805(364-375)Online publication date: 8-Nov-2008
  • (2008)Virtual Circuit Tree MulticastingProceedings of the 35th Annual International Symposium on Computer Architecture10.1109/ISCA.2008.12(229-240)Online publication date: 21-Jun-2008
  • (2007)Routing table minimization for irregular mesh NoCsProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266570(942-947)Online publication date: 16-Apr-2007
  • (2006)A survey of research and practices of Network-on-chipACM Computing Surveys (CSUR)10.1145/1132952.113295338:1(1-es)Online publication date: 29-Jun-2006
  • (2005)Switching sensitive driver circuit to combat dynamic delay in on-chip busesProceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation10.1007/11556930_29(277-285)Online publication date: 21-Sep-2005

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media