Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

An efficient runtime power allocation scheme for many-core systems inspired from auction theory

Published: 01 June 2015 Publication History

Abstract

Design of future many-core chips is experiencing a paradigm shift to the so-called power-budgeting design, due to the widening gap between instantaneous power consumption and the allowed maximum power, referred as the power budget. Critical to these many-core chips is the runtime power allocation mechanism which can help optimizing the overall system performance under a limited power budget constraint. In this paper, the power allocation problem (i.e., maximizing the system performance under a power budget) is modeled by a combinatorial auction. The problem can be transformed to a knapsack problem and the optimal solution reaches a Walrasian equilibrium. To solve the problem efficiently in a decentralized way, a Hierarchal MultiAgent based Power allocation (HiMAP) method is proposed with an optimal bound. In HiMAP, tiles bid for the opportunity to become active based on the chip s total power budget. Upon finishing an auction process, certain tiles will be power gated and/or frequency scaled according to the power allocation decision. Experimental results have confirmed that HiMAP can reduce the execution time by as much as 45% compared to four competing methods. The runtime overhead and cost of HiMAP are also small, which makes it scale well with many-core systems.

References

[1]
P. Manoj, K. Wang, H. Yu, Peak power reduction and workload balancing by space-time multiplexing based demand-supply matching for 3D thousand-core microprocessor, in: Proceedings of the Design Automation Conference, 2013, pp. 175-181.
[2]
S. Borkar, Thousand core chips: a technology perspective, in: Proceedings of the Design Automation Conference, 2007, pp. 746-749.
[3]
K. Ma, X. Wang, Y. Wang, DPPC: dynamic power partitioning and control for improved chip multiprocessor performance, IEEE Trans. Comput., 63 (2013) 1736-1750.
[4]
A. Sharifi, A.K. Mishra, S. Srikantaiah, M. Kandemir, C.R. Das, PEPON: performance-aware hierarchical power budgeting for NoC based multicores, in: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012, pp. 65-74.
[5]
K. Ma, X. Wang, PGCapping: exploiting power gating for power capping and core lifetime balancing in CMPs, in: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012, pp. 13-22.
[6]
S. Reda, R. Cochran, A. Coskun, Adaptive power capping for servers with multi-threaded workloads, IEEE Micro, 32 (2012) 64-75.
[7]
X. Wang, M. Chen, C. Lefurgy, T.W. Keller, SHIP: scalable hierarchical power control for large-scale data centers, in: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2009, pp. 91-100.
[8]
M. Prakash Gupta, M. Cho, S. Mukhopadhyay, S. Kumar, Thermal investigation into power multiplexing for homogeneous many-core processors, J. Heat Transf., 134 (2012) 061401.
[9]
N. Nisan, Algorithmic Game Theory, Cambridge University Press, UK, 2007.
[10]
X. Wang, B. Zhao, M. Yang, Y. Jiang, D. Masoud, M. Terrence, P. Maurizio, Adaptive power allocation for many-core systems inspired from a multiagent auction model, in: Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014.
[11]
C. Li, A. Qouneh, T. Li, iSwitch: coordinating and optimizing renewable energy powered server clusters, in: Proceedings of the International Symposium on Computer Architecture, 2012, pp. 512-523.
[12]
A.K. Coskun, J.L. Ayala, D. Atienza, T.S. Rosing, Y. Leblebici, Dynamic thermal management in 3D multicore architectures, in: Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2009, pp. 1410-1415.
[13]
K.K.-W. Chang, R. Ausavarungnirun, C. Fallin, O. Mutlu, HAT: heterogeneous adaptive throttling for on-chip networks, in: Proceedings of the IEEE International Symposium on Computer Architecture and High Performance Computing, 2012, pp. 9-18.
[14]
J. Leverich, M. Monchiero, V. Talwar, P. Ranganathan, C. Kozyrakis, Power management of datacenter workloads using per-core power gating, Comput. Archit. Lett., 8 (2009) 48-51.
[15]
M. Ghasemazar, E. Pakbaznia, M. Pedram, Minimizing the power consumption of a chip multiprocessor under an average throughput constraint, in: Proceedings of the International Symposium on Quality Electronic Design, 2010, pp. 362-371.
[16]
Y. Jin, E.J. Kim, K.H. Yum, Peak power control for a QoS capable on-chip network, in: Proceedings of the International Conference on Parallel Processing, 2005, pp. 585-592.
[17]
P.S. Bhojwani, J.D. Lee, R.N. Mahapatra, SAPP: scalable and adaptable peak power management in NoCs, in: Proceedings of the International Symposium on Low Power Electronics and Design, 2007, pp. 340-345.
[18]
P. Petrica, A.M. Izraelevitz, D.H. Albonesi, C.A. Shoemaker, Flicker: a dynamically adaptive architecture for power limited multicore systems, in: Proceedings of the International Symposium on Computer Architecture, 2013, pp. 13-23.
[19]
Y. Ge, Q. Qiu, Q. Wu, A multi-agent framework for thermal aware task migration in many-core systems, IEEE Trans. Very Large Scale Integr. Syst., 20 (2012) 1758-1771.
[20]
J. Jahn, S. Pagani, S. Kobbe, J.-J. Chen, J. Henkel, Optimizations for configuring and mapping software pipelines in many core systems, in: Proceedings of the Design Automation Conference, 2013, p. 130.
[21]
A. Faruque, M. Abdullah, R. Krist, J. Henkel, ADAM: run-time agent-based distributed application mapping for on-chip communication, in: Proceedings of the Design Automation Conference, 2008, pp. 760-765.
[22]
M. Shafique, L. Bauer, W. Ahmed, J. Henkel, Minority-game-based resource allocation for run-time reconfigurable multi-core processors, in: Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2011, pp. 1-6.
[23]
J. Srinivasan, S.V. Adve, P. Bose, J.A. Rivers, The impact of technology scaling on lifetime reliability, in: Proceedings of the International Conference on Dependable Systems and Networks, 2004, pp. 177-187.
[24]
J. Lee, N.S. Kim, Optimizing throughput of power-and thermal-constrained multicore processors using DVFS and per-core power-gating, in: Proceedings of the Design Automation Conference, 2009, pp. 47-50.
[25]
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, M.R. Stan, HotSpot: a compact thermal modeling methodology for early-stage VLSI design, IEEE Trans. Very Large Scale Integr. Syst., 14 (2006) 501-513.
[26]
R. Teodorescu, J. Torrellas, Variation-aware application scheduling and power management for chip multiprocessors, in: Proceedings of the International Symposium on High-Performance Computer Architecture, 2008, pp. 363-374.
[27]
J. Abrache, T.G. Crainic, M. Gendreau, M. Rekik, Combinatorial auctions, Ann. Oper. Res., 153 (2007) 131-164.
[28]
V.V. Vazirani, Approximation Algorithms, Springer, 2001.
[29]
X. Wang, Z. Li, M. Yang, Y. Jiang, D. Masoud, T. Mak, A low cost, high performance dynamic-programming-based adaptive power allocation scheme for many-core systems in the dark silicon era, in: Proceedings of the IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013, pp. 61-67.
[30]
X. Wang, Z. Li, M. Yang, Y. Jiang, D. Masoud, M. Terrence, Agile frequency scaling for adaptive power allocation in many-core systems powered by renewable energy sources, in: Proceedings of the Asia and South Pacific Design Automation Conference, 2014, pp. 298-303.
[31]
X. Wang, T. Mak, Y. Jiang, M. Yang, M. Daneshtalab, M. Palesi, On self-tuning networks-on-chip for dynamic network-flow dominance adaptation, in: Proceedings of the IEEE/ACM International Symposium on Networks-on-Chip, 2013, pp. 1-8.
[32]
C. Sun, C.-H. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L.-S. Peh, V. Stojanovic, DSENT - a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling, in: Proceedings of the IEEE/ACM International Symposium on Networks-on-Chip, 2012, pp. 201-210.
[33]
Cacti. Available at: {http://www.cs.utah.edu/~rajeev/cacti6/}.
[34]
S. Li, J.H. Ahn, R.D. Strong, J.B. Brockman, D.M. Tullsen, N.P. Jouppi, McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures, in: Proceedings of the IEEE/ACM International Symposium on Microarchitecture, 2009, pp. 469-480.
[35]
X. Wang, P. Liu, M. Yang, Y. Jiang, M. Palesi, M.C. Huang, Energy efficient run-time incremental mapping for 3-D networks-on-chip, J. Comput. Sci. Technol., 28 (2013) 5-71.
[36]
S.R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, An 80-tile sub-100-W teraflops processor in 65-nm CMOS, IEEE J. Solid-State Circuits, 43 (2008) 29-41.
[37]
M.H. Cho, K.S. Shim, M. Lis, O. Khan, S. Devadas, Deadlock-free fine-grained thread migration, in: Proceedings of the ACM/IEEE International Symposium on Networks-on-Chip, 2011, pp. 33-40.

Cited By

View all
  • (2017)A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core SystemsACM Computing Surveys10.1145/305726750:2(1-40)Online publication date: 11-Apr-2017

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Integration, the VLSI Journal
Integration, the VLSI Journal  Volume 50, Issue C
June 2015
205 pages

Publisher

Elsevier Science Publishers B. V.

Netherlands

Publication History

Published: 01 June 2015

Author Tags

  1. Combinatorial auction
  2. Many-core
  3. Multiagent system
  4. Power allocation

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2017)A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core SystemsACM Computing Surveys10.1145/305726750:2(1-40)Online publication date: 11-Apr-2017

View Options

View options

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media