Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Design space exploration acceleration through operation clustering

Published: 01 January 2010 Publication History
  • Get Citation Alerts
  • Abstract

    This paper presents a clustering method called clustering design space exploration (CDS-ExpA) to accelerate the architectural exploration of behavioral descriptions in C and SystemC. The trade-offs between faster exploration versus optimality of results are investigated. Two variations of CDS-ExpA were developed: CDS-ExpA(min) and CDS-ExpA(max). CDS-ExpA(min) builds the smallest possible clusters while CDS-ExpA(max) builds the largest possible ones, reducing further the design space. Results show that CDS-ExpA(min) and CDS-ExpA(max) explore the design space 90% and 92% faster on average than a previously developed annealer-based exploration, method, at the expense of not finding 36% and 47% of the Pareto optimal designs and finding the smallest design that is 7% and 9% on average, larger, and the fastest design 28% and 32% slower, respectively.

    References

    [1]
    B. C. Schafer, T. Takenaka, and K. Wakabayashi, "Adaptive simulated annealer for high-level synthesis design space exploration," in Proc. Int. Symp. Very-Large-Scale Integration Design, Automat., Test, 2009, pp. 106-109.
    [2]
    Behavioral Description Language {Online}. Available: http://www.cyberworkbench.com
    [3]
    Single Assignment C (SA-C) {Online}. Available: http://www.cs. colostate.edu/cameron
    [4]
    C. Haubelt, T. Schlichter, J. Keinert, and M. Meredith, "SystemCo-Designer: Automatic design space exploration and rapid prototyping from behavioral models," in Proc. Design Automat. Conf., 2008, pp. 580-585.
    [5]
    M. Kim, S. Banerjee, N. Dutt, and N. Venkatasubramanian, "Design space exploration of real-time multimedia MPSoCs with heterogeneous scheduling policies," in Proc. Int. Conf. Hardware/Software Codesign Syst. Synthesis (CODES+ISSS), 2006, p. 1621.
    [6]
    S. Mamagkakis, D. Atienza, C. Poucet, F. Catthoor, D. Soudris, and J. M. Mendias, "Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems," in Proc. Design, Automat., Test Eur., 2006, pp. 874-875.
    [7]
    I. Ahmad, M. Dhodi, and F. Hielscher, "Design-Space exploration for high-level synthesis," in Proc. IEEE 13th Ann. Int. Phoenix Conf. Comput. Commun., 1994, pp. 491-496.
    [8]
    M. Holzer, B. Knerr, and M. Rupp, "Design space exploration with evolutionary multiobjective optimization," in Proc. Ind. Embedded Syst., 2007, pp. 125-133.
    [9]
    C. Haubelt and J. Teich, "Accelerating design space exploration," in Proc. Int. Conf. Applicat.-Specific Integr. Circuit, 2003, pp. 79-84.
    [10]
    V. Kianzad and S. S. Bhattacharyya, "CHARMED: A multiobjective cosynthesis framework for multimode embedded systems," in Proc. IEEE Int. Conf. Applicat.-Specific Syst., Architect., Processors, 2004, pp. 28-40.
    [11]
    S. Bilavarn, G. Gogniat, J.-L. Philippe, and L. Bossuet, "Design space pruning through early estimation of area/delay trade-offs for FPGA implementations," in Proc. Int. Conf. Comput. Aided Design, vol. 25. Oct. 2006, pp. 1950-1968.
    [12]
    I. D. L. Anderson and M. A. S. Khalid, "SC Build: A computer-aided design tool for design space exploration of embedded central processing unit cores for field-programmable gates arrays," Inst. Eng. Technol. Comput. Digital Tech., vol. 3, no. 1, pp. 24-32, Jan. 2009.
    [13]
    B. So, M. W. Hall, and P. C. Diniz, "A compiler approach to fast hardware design space exploration in FPGA-based systems," in Proc. Conf. Program. Language Design Implement., Jun. 2002, pp. 165-176.
    [14]
    B. So, P. C. Diniz, and M. W. Hall, "Using estimates from behavioral synthesis tools in compiler-directed design space exploration," in Proc. Design Automat. Conf., 2003, pp. 514-519.
    [15]
    P. Coussy and A. Moraweic, "All-in-C behavioral synthesis and verification with CyberWorkBench" in High-Level Synthesis from Algorithm Digital Circuit. Berlin, Germany: Springer-Verlag, 2008, ch. 7, pp. 113-127.

    Cited By

    View all
    • (2023)Fast and Inexpensive High-Level Synthesis Design Space Exploration: Machine Learning to the RescueIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325834142:11(3939-3950)Online publication date: 1-Nov-2023
    • (2022)Correlated Multi-objective Multi-fidelity Optimization for HLS Directives DesignACM Transactions on Design Automation of Electronic Systems10.1145/350354027:4(1-27)Online publication date: 8-Mar-2022
    • (2022)Learning from the Past: Efficient High-level Synthesis Design Space Exploration for FPGAsACM Transactions on Design Automation of Electronic Systems10.1145/349553127:4(1-23)Online publication date: 12-Feb-2022
    • Show More Cited By

    Index Terms

    1. Design space exploration acceleration through operation clustering
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
      IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems  Volume 29, Issue 1
      January 2010
      164 pages

      Publisher

      IEEE Press

      Publication History

      Published: 01 January 2010
      Revised: 11 August 2009
      Received: 04 June 2009

      Author Tags

      1. Acceleration
      2. acceleration
      3. clustering
      4. design space exploration
      5. high-level synthesis
      6. highlevel synthesis

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Fast and Inexpensive High-Level Synthesis Design Space Exploration: Machine Learning to the RescueIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325834142:11(3939-3950)Online publication date: 1-Nov-2023
      • (2022)Correlated Multi-objective Multi-fidelity Optimization for HLS Directives DesignACM Transactions on Design Automation of Electronic Systems10.1145/350354027:4(1-27)Online publication date: 8-Mar-2022
      • (2022)Learning from the Past: Efficient High-level Synthesis Design Space Exploration for FPGAsACM Transactions on Design Automation of Electronic Systems10.1145/349553127:4(1-23)Online publication date: 12-Feb-2022
      • (2020)Development of Multiobjective High-Level Synthesis for FPGAsScientific Programming10.1155/2020/70950482020Online publication date: 1-Jan-2020
      • (2020)Performance Modeling and Directives Optimization for High-Level Synthesis on FPGAIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.291291639:7(1428-1441)Online publication date: 1-Jul-2020
      • (2020)Comprehensive regression-based model to predict performance of general-purpose graphics processing unitCluster Computing10.1007/s10586-019-03011-223:2(1505-1516)Online publication date: 1-Jun-2020
      • (2015)Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesisProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755788(157-162)Online publication date: 9-Mar-2015
      • (2013)A meta-model assisted coprocessor synthesis framework for compiler/architecture parameters customizationProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485450(659-664)Online publication date: 18-Mar-2013
      • (2013)Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offsACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239020218:1(1-35)Online publication date: 16-Jan-2013
      • (2012)Compositional system-level design exploration with planning of high-level synthesisProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492870(641-646)Online publication date: 12-Mar-2012
      • Show More Cited By

      View Options

      View options

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media