Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offs

Published: 16 January 2013 Publication History
  • Get Citation Alerts
  • Abstract

    Design space exploration during high-level synthesis targets the computation of those design solutions which form optimal trade-off points. This quest for optimal trade-offs has been focused on studying the impact of various architectural-level parameters during high-level synthesis algorithms, silently neglecting the trade-offs produced from the combined impact of behavioral-level together with architectural-level parameters. We propose a novel design space, exploration methodology that studies an extended instance of the solution space considering the effects of combining compiler- and architectural-level transformations. It is shown that exploring the design space in a global manner reveals new trade-off points, thus shifting towards higher quality design solutions. We use a combination of upper-bounding conditions together with gradient-based heuristic pruning to efficiently traverse the extended search space. Our exploration framework delivers significant quality improvements without compromising the optimality (Pareto accuracy) of the discovered solutions, together with significant runtime reductions compared to exploring exhaustively the solution space at every allocation scenario.

    References

    [1]
    Atienza, D., Mendias, J. M., Mamagkakis, S., Soudris, D., and Catthoor, F. 2006. Systematic dynamic memory management design methodology for reduced memory footprint. ACM Trans. Des. Autom. Electron. Syst. 11, 2, 465--489.
    [2]
    Balakrishnan, M. and Marwedel, P. 1989. Integrated scheduling and binding: A synthesis approach for design space exploration. In Proceedings of the 26th ACM/IEEE Design Automation Conference (DAC'89). 68--74.
    [3]
    Beeftink, F., Kudva, P., Kung, D., and Stok, L. 1998. Gate-size selection for standard cell libraries. In Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design (ICCAD'98). 545--550.
    [4]
    Blythe, S. A. and Walker, R. A. 1999. Efficiently searching the optimal design space. In Proceedings of the 9th Great Lakes Symposium on VLSI (GLS'99). 192.
    [5]
    Blythe, S. A. and Walker, R. A. 2000. Efficient optimal design space characterization methodologies. ACM Trans. Des. Autom. Electron. Syst. 5, 3, 322--336.
    [6]
    Bonzini, P. and Pozzi, L. 2006. Code transformation strategies for extensible embedded processors. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 242--252.
    [7]
    Catthoor, F., Greef, E. D., and Suytack, S. 1998. Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System Design. Kluwer Academic Publishers, Norwell, MA.
    [8]
    Chaudhuri, S., Blythe, S. A., and Walker, R. A. 1997. A solution methodology for exact design space exploration in a three-dimensional design space. IEEE Trans. Very Large Scale Integr. Syst. 5, 1, 69--81.
    [9]
    Chen, D. and Cong, J. 2004. Register binding and port assignment for multiplexer optimization. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'04). 68--73.
    [10]
    Corazao, M., Khalaf, M., Guerra, L., Potkonjak, M., and Rabaey, J. 1996. Perfomance optimization using template mapping for datapath-intensive high-level synthesis. IEEE Trans. Comput. Aided Des. Integ. Circuits Syst. 15, 2, 877--888.
    [11]
    Coussy, P. and Morawiec, A. 2008. High-Level Synthesis: From Algorithm to Digital Circuit. Springer, Berlin.
    [12]
    Davis, J. A. and Meindl, J. D. 2003. Interconnect Technology and Design for Gigascale Integration. Kluwer Academic Publishers, Norwell, MA.
    [13]
    De Micheli G. 1994. Synthesis and Optimization of Digital Circuits. McGraw-Hill Higher Education, New York, NY.
    [14]
    Desmet, V., Girbal, S., Ramirez, A., Temam, O., and Vega, A. 2010. Archexplorer for automatic design space exploration. IEEE Micro 30, 5, 5--15.
    [15]
    Diniz, P., Hall, M., Park, J., So, B., and Ziegler, H. 2001. Bridging the gap between compilation and synthesis in the defacto system. In Proceedings of the 14th Workshop on Languages and Compilers for Parallel Computing. 52--70.
    [16]
    Dragomir, O., Panainte, E., Bertels, K., and Wong, S. 2008. Optimal unroll factor for reconfigurable architectures. In Proceedings of the 4th Annual International Workshop on Reconfigurable Computing Architecture Tools and Applications. 4--14.
    [17]
    Dubach, C., Jones, T. M., Bonilla, E. V., Fursin, G., and O'Boyle, M. F. P. 2009. Portable compiler optimisation across embedded programs and microarchitectures using machine learning. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. (MICRO42). 78--88.
    [18]
    Dutta, R., Roy, J., and Vemuri, R. 1992. Distributed design-space exploration for high-level synthesis systems. In Proceedings of the 29th ACM/IEEE Design Automation Conference (DAC'92). 644--650.
    [19]
    Gerlach, J. and Rosenstiel, W. 2000. A methodology and tool for automated transformational high-level design space exploration. In Proceedings of the IEEE International Conference on Computer Design (ICCD). 545--548.
    [20]
    Gries, M. 2004. Methods for evaluating and covering the design space during early design development. Integr. VLSI J. 38, 2, 131--183.
    [21]
    Gupta, S., Dutt, N., Gupta, R., and Nicolau, A. 2002. Coordinated parallelizing compiler optimizations and high-level synthesis. ACM Trans. Des. Autom. Electron. Syst 9.
    [22]
    Gupta, S., Savoiu, N., Dutt, N., Gupta, R., and Nicolau, A. 2003. Using global code motions to improve the quality of results for high-level synthesis. IEEE Trans. Comput. Aided Des. Integ. Circuits Syst. 23, 2.
    [23]
    Hoste, K. and Eeckhout, L. 2008. Cole: Compiler optimization level exploration. In Proceedings of the 6th Annual IEEE/ACM International Symposium on Code Generation and Optimizations (CGO'08). 165--174.
    [24]
    Kennedy, K. and Allen, J. R. 2002. Optimizing Compilers for Modern Architectures: A Dependence-Based Approach. Morgan Kaufmann Publishers Inc., San Francisco, CA.
    [25]
    Kim, T. and Liu, X. 2007. Compatibility path based binding algorithm for interconnect reduction in high level synthesis. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'07). 435--441.
    [26]
    Kurra, S., Singh, N. K., and Panda, P. R. 2007. The impact of loop unrolling on controller delay in high level synthesis. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). 391--396.
    [27]
    Lee, C., Potkonjak, M., and Mangione-Smith, W. 1997. MediaBench: A tool for evaluating and synthesizing multimedia and communicatons systems. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO-30). 330--335.
    [28]
    Lin, Y.-L. 1997. Recent developments in high-level synthesis. ACM Trans. Des. Autom. Electron. Syst. 2, 1, 2--21.
    [29]
    Marwedel, P., Landwehr, B., and Domer, R. 1997. Built-in chaining: Introducing complex components into architectural synthesis. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC). 599--605.
    [30]
    Memik, S. O., Bellas, N., and Mondal, S. 2008. Presynthesis area estimation of reconfigurable streaming accelerators. IEEE Trans. CAD Integ. Circuits Syst. 27, 11, 2027--2038.
    [31]
    Montgomery, D. C. 2006. Design and Analysis of Experiments. John Wiley & Sons, Hoboken, NJ.
    [32]
    Murray, A. C., Bennett, R. V., Franke, B., and Topham, N. P. 2009. Code transformation and instruction set extension. ACM Trans. Embedded Comput. Syst. 8, 4.
    [33]
    Okabe, T., Jin, Y., and Sendhoff, B. 2003. A critical survey of performance indices for multi-objective optimisation. In Proceedings of the Congress on Evolutionary Computation. 878--885.
    [34]
    Palermo, G., Silvano, C., and Zaccaria, V. 2009. Respir: A response surface-based pareto iterative refinement for application-specific design space exploration. Trans. Comp.-Aided Des. Integ. Cir. Sys. 28, 1816--1829.
    [35]
    Pareto, V. 2008. Manuale di Economia Politica. Picola Biblioteca Scientifica, Milan, 1906, Translated into English by Ann Schweir (1971), Manual of Political Economy. MacMillan, London.
    [36]
    Paulin, P. G. and Knight, J. P. 1989. Force-directed scheduling for the behavioral synthesis of asics. IEEE Trans. CAD Integr. Circuits Syst. 8, 6, 661--679.
    [37]
    Raghunathan, A., Jha, N. K., and Dey, S. 1998. High-Level Power Analysis and Optimization. Kluwer Academic Publishers, Norwell, MA.
    [38]
    Schäfer, B. C. and Wakabayashi, K. 2010. Design space exploration acceleration through operation clustering. IEEE Trans. CAD Integr. Circuits Syst. 29, 1, 153--157.
    [39]
    Shrivastava, A., Issenin, I., Dutt, N., Park, S., and Paek, Y. 2009. Compiler-in-the-loop design space exploration framework for energy reduction in horizontally partitioned cache architectures. IEEE Trans. CAD Integr. Circuits Syst. 28, 3, 461--465.
    [40]
    Silvano, C., Fornaciari, W., Palermo, G., Zaccaria, V., Castro, F., Martinez, M., Bocchio, S., Zafalon, R., Avasare, P., Vanmeerbeeck, G., Ykman-Couvreur, C., Wouters, M., Kavka, C., Onesti, L., Turco, A., Bondi, U., Marianik, G., Posadas, H., Villar, E., Wu, C., Dongrui, F., Hao, Z., and Shibin, T. 2010. Multicube: Multi-objective design space exploration of multi-core architectures. In Proceedings of the IEEE Computer Society Annual Symposium on (VLSI (ISVLSI)). 488--493.
    [41]
    SPARK HLS Tool. 2009. http://mesl.ucsd.edu/spark/methodology.
    [42]
    Synopsys Inc. 2009. www.synopsys.com/products/.
    [43]
    Triantafyllis, S., Vachharajani, M., Vachharajani, N., and August, D. I. 2003. Compiler optimization-space exploration. In Proceedings of the International Symposium on Code Generation and Optimization: Feedback-Directed and Runtime Optimization (CGO'03). 204--215.
    [44]
    Wang, G., Gong, W., DeRenzi, B., and Kastner, R. 2007. Exploring time/resource trade-offs by solving dual scheduling problems with the ant colony optimization. ACM Trans. Design Autom. Electr. Syst. 12, 4.
    [45]
    Xydis, S., Bartzas, A., Anagnostopoulos, I., Soudris, D., and Pekmestzi, K. 2010. Custom mutli-threaded dynamic memory management for multiprocessor system-on-chip platforms. In Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation. 102--109.
    [46]
    Xydis, S., Skouroumounis, C., Pekmestzi, K., Soudris, D., and Economakos, G. 2010a. Designing efficient DSP datapaths through compiler-in-the-loop exploration methodology. In Proceedings of thes IEEE International Symposium on Circuits and Systems (ISCAS). 2598--2601.
    [47]
    Xydis, S., Skouroumounis, C., Pekmestzi, K., Soudris, D., and Economakos, G. 2010b. Efficient high level synthesis exploration methodology combining exhaustive and gradient-based pruned searching. In Proceedings of the IEEE Annual Symposium on VLSI (ISVLSI). 104--109.

    Cited By

    View all
    • (2023)Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247948(1-2)Online publication date: 9-Jul-2023
    • (2020)Development of Multiobjective High-Level Synthesis for FPGAsScientific Programming10.1155/2020/70950482020Online publication date: 1-Jan-2020
    • (2017)Low‐cost security aware HLS methodologyIET Computers & Digital Techniques10.1049/iet-cdt.2016.001411:2(68-79)Online publication date: 10-Jan-2017
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 18, Issue 1
    Special section on adaptive power management for energy and temperature-aware computing systems
    January 2013
    319 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2390191
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 16 January 2013
    Accepted: 01 July 2012
    Revised: 01 October 2011
    Received: 01 February 2011
    Published in TODAES Volume 18, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Design space exploration
    2. delay-area trade-offs
    3. high-level synthesis

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)12
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 10 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247948(1-2)Online publication date: 9-Jul-2023
    • (2020)Development of Multiobjective High-Level Synthesis for FPGAsScientific Programming10.1155/2020/70950482020Online publication date: 1-Jan-2020
    • (2017)Low‐cost security aware HLS methodologyIET Computers & Digital Techniques10.1049/iet-cdt.2016.001411:2(68-79)Online publication date: 10-Jan-2017
    • (2017)An Exploration Framework for Efficient High-Level Synthesis of Support Vector MachinesJournal of Signal Processing Systems10.1007/s11265-017-1230-188:2(127-147)Online publication date: 1-Aug-2017
    • (2015)SPIRIT: Spectral-Aware Pareto Iterative Refinement Optimization for Supervised High-Level SynthesisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2014.236339234:1(155-159)Online publication date: Jan-2015
    • (2015)AEGLE: A big bio-data analytics framework for integrated health-care services2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)10.1109/SAMOS.2015.7363682(246-253)Online publication date: Jul-2015
    • (2015)Physical design aware system level synthesis of hardware2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)10.1109/SAMOS.2015.7363669(141-148)Online publication date: Jul-2015
    • (2015)Reconfigurable Computing for Analytics Acceleration of Big Bio-Data: The AEGLE ApproachApplied Reconfigurable Computing10.1007/978-3-319-16214-0_49(531-541)Online publication date: 31-Mar-2015

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media