Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Energy-efficient encoding techniques for off-chip data buses

Published: 09 February 2009 Publication History

Abstract

Reducing the power consumption of computing devices has gained a lot of attention recently. Many research works have focused on reducing power consumption in the off-chip buses as they consume a significant amount of total power. Since the bus power consumption is proportional to the switching activity, reducing the bus switching is an effective way to reduce bus power. While numerous techniques exist for reducing bus power in address buses, only a handful of techniques have been proposed for data-bus power reduction, where frequent value encoding (FVE) is the best existing scheme to reduce the transition activity on the data buses.
In this article, we propose improved frequent value data bus-encoding techniques aimed at reducing more switching activity and, hence, power consumption. We propose three new schemes and five new variations to exploit bit-wise temporal and spatial locality in the data-bus values. Our techniques just use one external control signal and capture bit-wise locality to efficiently encode data values. For all the embedded and SPEC applications we tested, the overall average switching reduction is 53% over unencoded data and 10% more than the conventional FVE scheme.

References

[1]
Basu, K., Choudhary, A., Pisharath, J., and M. Kandemir, M. 2002. Power protocol: Reducing power dissipation on off-chip data buses. In Proceedings of the 35th Annual IEEE/ACM Symposium on Micro Architecture (MICRO-35). IEEE, Los Alamitos, CA.
[2]
Benini, L., Macci, A., Macci, E., Poncino, M., and Scarsi, R. 2000. Architectures and synthesis algorithms for power efficient bus interfaces. IEEE Trans. Comput. Aid. Des. Circ. Syst. 19, 9.
[3]
Benini, L, De Micheli, G., Macci, E., Scuito, D., and Silvano, C. 1997. Asymptotic zero-transition activity encoding for address buses in low-power microprocessor-bases systems. In Proceedings of the 7th Great Lakes VLSI Symposium, IEEE, Los Alamitos, CA, 77--82.
[4]
Burger D. and Austin T. 1997. The SimpleScalar tool set, version 2.0. Tech. rep. University of Wisconsin-Madison.
[5]
Catthoor, E., Wuytack, S., De Gref, E., Balasa, F., Nachtergaele, L., and Vandecappelle, A. 1998. Exploration of Memory Organization for Embedded Multimedia System Design. Springer, Berlin, Germany.
[6]
Chern, J. H., Jurang, J., Arledge, L., Li, P., and Yang, P. 1992. Multi-level metal capacitance models for CAD design. IEEE Electron Device Lett. 13, 32--34.
[7]
Citron, D. and Rudolph, L. 1995. Creating a wider bus using caching techniques. In Proceedings of the 1st International Symposium on High Performance Computer Architecture. IEEE, Los Alamitos, CA, 90--99.
[8]
Farrens, M. and Park, A. 1991. Dynamic base register caching: a technique for reducing address bus width. In Proceedings of 18th International Symposium on Computer Architecture (ISCA). IEEE, Los Alamitos, CA, 128--137.
[9]
Givargis, T. and Vahid, F. 1998. Interface exploration for reduced power in core-based systems. In Proceedings of the 11th International Symposium on System Synthesis. IEEE, Los Alamitos, CA.
[10]
Givargis, T. and Eppstein, D. 2002. Reference-caching using unit distance redundant codes for activity reduction on address buses. In Proceedings of the 8th International Workshop on Embedded Hardware/Software Codesign (ESCODES'02). IEEE, Los Alamitos, CA.
[11]
Guthaus, M. R, Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., and Brown, R. 2001. MiBench: a free, commercially representative embedded benchmark suite. In Proceedings of the 4th Annual Workshop on Workload Characterization. IEEE, Los Alamitos, CA.
[12]
Hsiao, I. Y. L., Wang, D. H., and Jen, C. W. 2001. Power modeling and low-power design of content addressable memories. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS'01). IEEE, Los Alamitos, CA, 926--929.
[13]
Komatsu, S., Ikeda, M., and} Asada, K. 1999. Low power chip interface based on bus data encoding with adaptive code-book method. In Proceedings of the 9th Great Lakes Symposium on VLSI. IEEE, Los Alamitos, CA, 368.
[14]
Lee, C., Potkonjak, M., and Mangione Smith, W. 1997. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems'', In Proceedings of the 30th Annual International Symposium on Microarchitecture (MICRO-30), IEEE, Los Alamitos, CA, 330--335.
[15]
Lv, T., Henkel, J., Lekatsas, H., and Wolf, W. 2002. An adaptive dictionary encoding scheme for SOC data buses. In Proceedings of the Design Automation and Test in Europe. IEEE, Los Alamitos, CA.
[16]
Mamidipaka, M., Hirschberg, D., and Dutt, N. 2001. Low power address bus encoding using self-organizing lists. In Proceedings of the International Symposium on Low Power Design. IEEE, Los Alamitos, CA, 188--193.
[17]
Memik, G., Mangione Smith, W. H., and Hu, W. 2001. NetBench: a benchmarking suite for network processors. In Proceedings of the International Conference on Computer Aided Design (ICCAD2001). IEEE, Los Alamitos, CA, 39--42.
[18]
Musoll, E., Lang, T., and Cortadella, J. 1998. Working zone encoding for reducing the energy in microprocessor address buses. IEEE Trans. VLSI Syst. 6, 568--572.
[19]
Semiconductor Industry Association (SIA). 2003. National technology roadmap for semiconductors (NTRS). SIA, San Jose, CA.
[20]
Raghunathan, A., Jha, N. K., and Dey, S. 1998. High-Level Power Analysis and Optimization. Kluwer Academic Publishers, Norwell, MA.
[21]
Ramprasad, S., Shambag, N. R., and Hajj, I. N. 1999. A coding framework for low power address and data buses. IEEE Trans. VLSI Syst. 7, 212--221.
[22]
Standard Performance Evaluation Corporation. 2000. SPEC CPU2000 V1.3. http://www.specbench.org/cpu2000.
[23]
Su, C. L., Tsui, C. Y., and Despain, A. M. 1994. Saving power in the control path of embedded processors. IEEE Des. Test Comput. 11, 24--30, Volume 11, 1994.
[24]
Stan M. R. and Burleson, W. P. 1995. Bus-invert coding for low power I/O. IEEE Trans. Large VLSI Syst., 3, 49--58.
[25]
Suresh, D. C., Yang, J., Zhang, C., Agrawal, B., and Najjar, W. 2003. FV-MSB: A scheme to reduce transition activity on data buses. In Proceedings of the 10th Annual International Conference on High Performance Computing. Springer, Berlin, Germany.
[26]
Victor, B. M. and Keutzer, K. 2001. Bus encoding to prevent crosstalk delay. In Proceeding of the International Conference on Computer-Aided Design (ICCAD).
[27]
Weste, N. H. E. and Eshraghian, K. 1998. Principles of CMOS VSLI Design. Addison Wesley.
[28]
Yang, J. and Gupta, R. 2001. FV encoding for low power data I/O. In Proceedings of the International Symposium on Low Power Electronic Design. IEEE, Los Alamitos, CA, 84--87.
[29]
Yang, J., Gupta, R., and Zhang, C. H. 2004. FV-encoding for low power data buses. ACM Trans. Des. Autom. Embed. Syst. 9, 3, 354--384.
[30]
Zhang, Y., Yang, J., and Gupta, R. 2000. Frequent value locality and value-centric data cache design. In Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS--IX). ACM, New York, 150--159.

Cited By

View all
  • (2016)An Offline Frequent Value Encoding for Energy-Efficient MLC/TLC Non-volatile MemoriesProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902979(403-408)Online publication date: 18-May-2016
  • (2015)A survey on interconnect encoding for reducing power consumption, delay, and crosstalk2015 2nd International Conference on Electrical Information and Communication Technologies (EICT)10.1109/EICT.2015.7391913(7-12)Online publication date: Dec-2015

Index Terms

  1. Energy-efficient encoding techniques for off-chip data buses

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 8, Issue 2
      January 2009
      243 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/1457255
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 09 February 2009
      Accepted: 01 August 2008
      Revised: 01 February 2006
      Received: 01 September 2004
      Published in TECS Volume 8, Issue 2

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Low-power data buses
      2. bus switching
      3. encoding
      4. internal capacitances

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 06 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2016)An Offline Frequent Value Encoding for Energy-Efficient MLC/TLC Non-volatile MemoriesProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2902979(403-408)Online publication date: 18-May-2016
      • (2015)A survey on interconnect encoding for reducing power consumption, delay, and crosstalk2015 2nd International Conference on Electrical Information and Communication Technologies (EICT)10.1109/EICT.2015.7391913(7-12)Online publication date: Dec-2015

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media