Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1872007.1872030acmconferencesArticle/Chapter ViewAbstractPublication PagesancsConference Proceedingsconference-collections
research-article

Destination-based adaptive routing on 2D mesh networks

Published: 25 October 2010 Publication History

Abstract

The choice of routing algorithm plays a vital role in the performance of on-chip interconnection networks. Adaptive routing is appealing because it offers better latency and throughput than oblivious routing, especially under non-uniform and bursty traffic. The performance of an adaptive routing algorithm is determined by its ability to accurately estimate congestion in the network. In this regard, maintaining global congestion information using a separate monitoring network offers better congestion visibility into distant parts of the network than solutions relying only on local congestion state. However, the main challenge in designing such routing schemes is to keep the logic and bandwidth overhead as low as possible to fit into the tight power, area and delay budgets of on-chip routers. In this paper, we propose a minimal destination-based adaptive routing strategy (DAR) where every node estimates the delay to every other node in the network, and routing decisions are based on these per-destination delay estimates. DAR outperforms Regional Congestion Awareness (RCA) [7], the best previously known adaptive routing algorithm that uses non-local congestion knowledge. This is because the per-destination delay estimates in DAR are more accurate and not corrupted by congestion on links outside the admissible routing paths to the destination. We show that DAR outperforms minimal adaptive routing by up to 65% and RCA by up to 41% in terms of latency on SPLASH-2 benchmarks. It also outperforms these algorithms in latency and throughput under synthetic traffic patterns on both 8x8 and 16x16 mesh topologies.

References

[1]
J. Balfour and W. J. Dally. Design tradeoffs for tiled cmp on-chip networks. In ICS, 2006.
[2]
W. J. Dally. Virtual-channel flow control. In ISCA, May 1990.
[3]
J. Duato. A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks. TPDS, 6(10):1055--1067, 1995.
[4]
A. Singh et al. Adaptive channel queue routing on k-ary n-cubes. In SPAA, 2004.
[5]
D. Seo et al. Near-optimal worst-case throughput routing for two-dimensional mesh networks. In ISCA, June 2005.
[6]
P. Gratz et al. Implementation and evaluation of on-chip network architectures. In ICCD, Oct. 2006.
[7]
P. Gratz et al. Regional congestion awareness for load balance in networks-on-chip. In HPCA, Feb. 2008.
[8]
IBM. IBM Blue Gene project. http://www.research.ibm.com/bluegene/.
[9]
N. Jiang et al. Indirect adaptive routing on large scale interconnection networks. In ISCA, June. 2009.
[10]
J. A. Kahle et al. Introduction to the Cell multiprocessor. IBM Journal of Research and Development, 49(4/5), 2005.
[11]
J. Kim, J. Balfour, and W. J. Dally. Flattened butterfly topology for on-chip networks. In MICRO, 2007.
[12]
J. Kim et al. A low latency router supporting adaptivity for on-chip interconnects. In DAC, 2005.
[13]
A. Kumar, L.-S. Peh, and N. K. Jha. Token flow control. In MICRO, Nov. 2008.
[14]
A. Kumar et al. Express virtual channels: Towards the ideal interconnection fabric. In ISCA, June 2007.
[15]
D. Lugones, D. Franco, and E. Luque. Dynamic and distributed multipath routing policy for high-speed cluster networks. In CCGRID '09: Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid, pages 396--403, Washington, DC, USA, 2009. IEEE Computer Society.
[16]
R. Mullins et al. Low-latency virtual-channel routers for on-chip networks. In ISCA, June 2004.
[17]
V. Paxson. Fast, approximate synthesis of fractional gaussian noise for generating self-similar network traffic. SIGCOMM CCR., 27(5), 1997.
[18]
S. L. Scott and G. Thorson. The Cray T3E network: Adaptive routing in a high-performance 3D torus. In Hot Interconnects-4, 1996.
[19]
L. Seiler et al. Larrabee: a many-core x86 architecture for visual computing. In SIGGRAPH, 2008.
[20]
Li Shang, Li-Shiuan Peh, and Niraj K. Jha. Dynamic voltage scaling with links for power optimization of interconnection networks. In HPCA, Feb. 2003.
[21]
SPLASH-2. http://www-flash.stanford.edu/apps/SPLASH/.
[22]
M. B. Taylor et al. The Raw microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE-MICRO, 2002.
[23]
Brian Towles and William J. Dally. Throughput-centric routing algorithm design. In SPAA, pages 200--209, June 2003.
[24]
L. G. Valiant and G. J. Brebner. Universal schemes for parallel communication. In STOC, 1981.
[25]
S. Vangal et al. An 80-tile 1.28TFLOPS network-on-chip in 65nm CMOS. In ISSCC, 2007.

Cited By

View all
  • (2024)PKCATransactions on Emerging Telecommunications Technologies10.1002/ett.496435:4Online publication date: 8-Apr-2024
  • (2023)A high-performance fully adaptive routing based on software defined network-on-chipMicroelectronics Journal10.1016/j.mejo.2023.105950141:COnline publication date: 1-Nov-2023
  • (2023)Adaptive Routing for Hybrid Photonic–Plasmonic (HyPPI) Interconnection Network for Manycore Processors Using DDDAS on the ChipHandbook of Dynamic Data Driven Applications Systems10.1007/978-3-031-27986-7_34(903-925)Online publication date: 6-Sep-2023
  • Show More Cited By

Index Terms

  1. Destination-based adaptive routing on 2D mesh networks

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ANCS '10: Proceedings of the 6th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
    October 2010
    244 pages
    ISBN:9781450303798
    DOI:10.1145/1872007
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 25 October 2010

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. adaptive routing
    2. on-chip networks

    Qualifiers

    • Research-article

    Conference

    ANCS '10
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 88 of 314 submissions, 28%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)11
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 02 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)PKCATransactions on Emerging Telecommunications Technologies10.1002/ett.496435:4Online publication date: 8-Apr-2024
    • (2023)A high-performance fully adaptive routing based on software defined network-on-chipMicroelectronics Journal10.1016/j.mejo.2023.105950141:COnline publication date: 1-Nov-2023
    • (2023)Adaptive Routing for Hybrid Photonic–Plasmonic (HyPPI) Interconnection Network for Manycore Processors Using DDDAS on the ChipHandbook of Dynamic Data Driven Applications Systems10.1007/978-3-031-27986-7_34(903-925)Online publication date: 6-Sep-2023
    • (2022)Review, Analysis, and Implementation of Path Selection Strategies for 2D NoCsIEEE Access10.1109/ACCESS.2022.322746010(129245-129268)Online publication date: 2022
    • (2022)Low‐cost regional‐based congestion‐aware routing algorithm for 2D mesh NoCInternational Journal of Communication Systems10.1002/dac.536036:1Online publication date: Oct-2022
    • (2021)A fuzzy integrated congestion-aware routing algorithm for network on chip面向片上网络的一种模糊集成拥塞感知路由算法Frontiers of Information Technology & Electronic Engineering10.1631/FITEE.200006922:5(741-755)Online publication date: 28-May-2021
    • (2020)A novel congestion-aware routing algorithm with prediction in mesh-based networks-on-chipNano Communication Networks10.1016/j.nancom.2020.100322(100322)Online publication date: Sep-2020
    • (2019)The Study on Adaptive Routing Algorithm of 2-D Torus Network with Fault ToleranceTurnモデルに基づく二次元トーラス・ネットワークの適応ルーティング・アルゴリズムにおける耐故障性評価IEEJ Transactions on Electronics, Information and Systems10.1541/ieejeiss.139.492139:4(492-503)Online publication date: 1-Apr-2019
    • (2019)Opportunistic computing in GPU architecturesProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322212(210-223)Online publication date: 22-Jun-2019
    • (2019)HAREInternational Journal of Parallel Programming10.1007/s10766-018-0614-647:3(433-450)Online publication date: 1-Jun-2019
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media