Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2000064.2000111acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

A case for heterogeneous on-chip interconnects for CMPs

Published: 04 June 2011 Publication History

Abstract

Network-on-chip (NoC) has become a critical shared resource in the emerging Chip Multiprocessor (CMP) era. Most prior NoC designs have used the same type of router across the entire network. While this homogeneous network design eases the burden on a network designer, partitioning the resources equally among all routers across the network does not lead to optimal resource usage, and hence, affects the performance-power envelope. In this work, we propose to apportion the resources in an NoC to leverage the non-uniformity in network resource demand. Our proposal includes partitioning the network resources, specifically buffers and links, in an optimal manner. This approach results in redistributing resources such that routers that require more resources are allocated more buffers and wider links compared to routers demanding fewer resources. This results in a novel heterogeneous network, called HeteroNoC, which is composed of two types of routers -- small power efficient routers, and big high performance routers. We evaluate a number of heterogeneous network configurations, composed of big and small routers, and show that giving more resources to routers along the diagonals in a mesh network provides maximum benefits in terms of performance and power. We also show the potential benefits of the HeteroNoC design by co-evaluating it with memory-controllers and configuring it with an asymmetric CMP consisting of heterogeneous cores.

Supplementary Material

JPG File (isca_8b_1.jpg)
MP4 File (isca_8b_1.mp4)

References

[1]
International Technology Roadmap for Semiconductors, 2010 Edition. http://www.itrs.net/Links/2010ITRS/Home2010.htm.
[2]
D. Abts, N. D. Enright Jerger, J. Kim, D. Gibson, and M. H. Lipasti. Achieving Predictable Performance Through Better Memory Controller Placement in Many-Core CMPs. In 36th ISCA, 2009.
[3]
A. Bakhoda, J. Kim, and T. M. Aamodt. Throughput-Effective On-Chip Networks for Manycore Accelerators. In MICRO-43, 2010.
[4]
J. Balfour and W. J. Dally. Design Tradeoffs for Tiled CMP On-Chip Networks. In ICS-20, 2006.
[5]
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC Benchmark Suite: Characterization and Architectural Implications. In 17th PACT, 2008.
[6]
W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2003.
[7]
R. Das, S. Eachempati, A. Mishra, V. Narayanan, and C. Das. Design and Evaluation of a Hierarchical On-Chip Interconnect for Next-Generation CMPs. In 15th HPCA, 2009.
[8]
S. Eyerman and L. Eeckhout. System-Level Performance Metrics for Multiprogram Workloads. Micro, IEEE, 2008.
[9]
B. Grot, J. Hestness, S. Keckler, and O. Mutlu. Express Cube Topologies for on-Chip Interconnects. In 15th HPCA 2009.
[10]
Z. Guz, I. Walter, E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. Network Delays and Link Capacities in Application-Specific Wormhole NoCs. VLSI '07: Journal of VLSI Design, vol. 2007.
[11]
M. D. Hill and M. R. Marty. Amdahl's Law in the Multicore Era. IEEE COMPUTER, 2008.
[12]
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-GHz Mesh Interconnect for a Teraflops Processor. In Micro, IEEE, volume 27, pages 51--61, Sept.-Oct. 2007.
[13]
J. Hu and R. Marculescu. Application-specific buffer space allocation for networks-on-chip router design. In ICCAD, 2004.
[14]
J. Kim, W. Dally, S. Scott, and D. Abts. Technology-Driven, Highly-Scalable Dragonfly Topology. In 35th ISCA 2008.
[15]
J. Kim, W. J. Dally, and D. Abts. Flattened Butterfly: A Cost-Efficient Topology for High-Radix Networks. In 34th ISCA, 2007.
[16]
J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, and C. R. Das. A Low Latency Router Supporting Adaptivity for On-Chip Router. In 42nd DAC, 2005.
[17]
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express Virtual Channels: Towards the Ideal Interconnection Fabric. In 34th ISCA, 2007.
[18]
R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan. Heterogeneous Chip Multiprocessors. Computer, 38(11), 2005.
[19]
R. Kumar, D. M. Tullsen, P. Ranganathan, N. P. Jouppi, and K. I. Farkas. Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance. SIGARCH Computer Architecture News, 32(2), 2004.
[20]
R. Marculescu, U. Y. Ogras, and N. H. Zamora. Computation and Communication Refinement for Multiprocessor SoC Design: A System-Level Perspective. ACM TODAES, 11(3), 2006.
[21]
A. Mejia, M. Palesi, J. Flich, S. Kumar, P. López, R. Hoismark, and J. Duato. Region-Based Routing: A Mechanism to Support Efficient Routing Algorithms in NoCs. IEEE Transactions On VLSI Systems, 17(3), 2009.
[22]
A. Mishra, R. Das, S. Eachempati, R. Iyer, V. Narayanan, and C. Das. A Case for Dynamic Frequency Tuning in On-Chip Networks. In MICRO-42, 2010.
[23]
T. Y. Morad, U. C. Weiser, A. Kolodny, M. Valero, and E. Ayguade. Performance, Power Efficiency and Scalability of Asymmetric Cluster Chip Multiprocessors. IEEE Computer Architecture Letters, 2006.
[24]
T. Moscibroda and O. Mutlu. A Case for Bufferless Routing in On-Chip Networks. In 36th ISCA, 2009.
[25]
R. Mullins, A. West, and S. Moore. Low-Latency Virtual-Channel Routers for On-Chip Networks. In 31st ISCA, 2004.
[26]
S. Murali, M. Coenen, A. Radulescu, K. Goossens, and G. De Micheli. Mapping and Configuration Methods for Multi-Use-Case Networks on Chips. In ASPDAC, 2006.
[27]
L.-S. Peh and W. J. Dally. A Delay Model and Speculative Architecture for Pipelined Routers. In 7th HPCA, 2001.
[28]
L. Shang, L.-S. Peh, A. Kumar, and N. K. Jha. Thermal Modeling, Characterization and Management of On-Chip Networks. In MICRO-37, 2004.
[29]
H. Wang, L.-S. Peh, and S. Malik. A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip Networks. In DATE, 2005.
[30]
H. Wang, X. Zhu, L.-S. Peh, and S. Malik. Orion: A Power-Performance Simulator for Interconnection Networks. In MICRO-35, 2002.

Cited By

View all
  • (2021)Vertical traversal approach towards TSVs optimisation over multilayer network on chip (NoC)Microelectronics Journal10.1016/j.mejo.2021.105231(105231)Online publication date: Aug-2021
  • (2020)Core Performance Based Packet Priority Router for NoC-Based Heterogeneous Multicore ProcessorIntelligent System Design10.1007/978-981-15-5400-1_40(389-397)Online publication date: 11-Aug-2020
  • (2019)Ghost routersProceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip10.1145/3313231.3352360(1-7)Online publication date: 17-Oct-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '11: Proceedings of the 38th annual international symposium on Computer architecture
June 2011
488 pages
ISBN:9781450304726
DOI:10.1145/2000064
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 39, Issue 3
    ISCA '11
    June 2011
    462 pages
    ISSN:0163-5964
    DOI:10.1145/2024723
    Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 04 June 2011

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. heterogeneous networks
  2. network on chip

Qualifiers

  • Research-article

Conference

ISCA '11
Sponsor:

Acceptance Rates

Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)19
  • Downloads (Last 6 weeks)3
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Vertical traversal approach towards TSVs optimisation over multilayer network on chip (NoC)Microelectronics Journal10.1016/j.mejo.2021.105231(105231)Online publication date: Aug-2021
  • (2020)Core Performance Based Packet Priority Router for NoC-Based Heterogeneous Multicore ProcessorIntelligent System Design10.1007/978-981-15-5400-1_40(389-397)Online publication date: 11-Aug-2020
  • (2019)Ghost routersProceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip10.1145/3313231.3352360(1-7)Online publication date: 17-Oct-2019
  • (2019)Opportunistic computing in GPU architecturesProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322212(210-223)Online publication date: 22-Jun-2019
  • (2019)Redeeming chip-level power efficiency by collaborative management of the computation and communicationProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287647(376-381)Online publication date: 21-Jan-2019
  • (2018)The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip SystemsJournal of Low Power Electronics and Applications10.3390/jlpea80100058:1(5)Online publication date: 28-Feb-2018
  • (2018)VP-Router: On Balancing the Traffic Load in On-Chip NetworksIEICE Electronics Express10.1587/elex.15.20180883Online publication date: 2018
  • (2018)Hybrid Network-on-ChipComplexity10.1155/2018/10408692018Online publication date: 30-Jul-2018
  • (2018)Enhancing computation-to-core assignment with physical location informationACM SIGPLAN Notices10.1145/3296979.319238653:4(312-327)Online publication date: 11-Jun-2018
  • (2018)Computing with Near DataProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/32873212:3(1-30)Online publication date: 21-Dec-2018
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media