Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2463209.2488808acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

VeriTrust: verification for hardware trust

Published: 29 May 2013 Publication History

Abstract

Hardware Trojans (HTs) implemented by adversaries serve as backdoors to subvert or augment the normal operation of infected devices, which may lead to functionality changes, sensitive information leakages, or Denial of Service attacks. To tackle such threats, this paper proposes a novel verification technique for hardware trust, namely VeriTrust, which facilitates to detect HTs inserted at design stage. Based on the observation that HTs are usually activated by dedicated trigger inputs that are not sensitized with verification test cases, VeriTrust automatically identifies such potential HT trigger inputs by examining verification corners. The key difference between VeriTrust and existing HT detection techniques is that VeriTrust is insensitive to the implementation style of HTs. Experimental results show that VeriTrust is able to detect all HTs evaluated in this paper (constructed based on various HT design methodologies shown in the literature) at the cost of moderate extra verification time, which is not possible with existing solutions.

References

[1]
M. Tehranipoor and F. Koushanfar. A survey of hardware Trojan taxonomy and detection. IEEE Design & Test of Computers, vol.27, no.1, 2010.
[2]
S. Skorobogatov and C. Woods. Breakthrough silicon scanning discovers backdoor in military chip. In Proc. International Conference on Cryptographic Hardware and Embedded Systems, pp. 23--40, 2012.
[3]
S. T. King, J. Tucek, A. Cozzie, C. Grier, W. Jiang, and Y. Zhou. Designing and implementing malicious hardware. In Proc. USENIX Workshop on Large-Scale Exploits and Emergent Threats, 2008.
[4]
J. Markoff. Old trick threatens the newest weapons. In The New York Times, p. D1, Oct. 27, 2009.
[5]
S. Adee. The hunt for the kill switch. IEEE Spectrum, pp. 34--39, 2008.
[6]
D. Agrawal, et al. Trojan detection using IC fingerprinting. In Proc. IEEE Symposium on Security and Privacy, pp. 296--310, 2007.
[7]
J. Li and J. Lach. At-speed delay characterization for IC authentication and trojan horse detection. In Proc. IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 8--14, 2008.
[8]
D. Du, S. Narasimhan, R. S. Chakraborty, and S. Bhunia. Self-referencing: a scalable side-channel approach for hardware trojan detection. In Proc. International Conference on Cryptographic Hardware and Embedded Systems, pp. 173--187, 2010.
[9]
Y. Alkabani and F. Koushanfar. Consistency-based characterization for IC trojan detection. In Proc. IEEE/ACM International Conference on Computer-Aided Design, pp. 123--127, 2009.
[10]
S. Wei, S. Meguerdichian, and M. Potkonjak. Gate-level characterization: foundations and hardware security applications. In Proc. ACM/IEEE Design Automation Conference, pp. 222--227, 2010.
[11]
M. Hicks, et al. Overcoming an untrusted computing base: detecting and removing malicious hardware automatically. In Proc. IEEE Symposium on Security and Privacy, pp. 159--172, 2010.
[12]
C. Sturton, M. Hicks, D. Wagner, and S. T. King. Defeating UCI: building stealthy and malicious hardware. In Proc. IEEE Symposium on Security and Privacy, pp. 64--77, 2011.
[13]
J. Zhang and Q. Xu. On Hardware Trojan Design and Implementation at RTL. Proc. IEEE International Symposium on Hardware-Oriented Security and Trust, to appear, 2013.
[14]
U. S. Dept. of Defense. Defense Science Board Task Force on High Performance Microchip Supply. http://www.acq.osd.mil/dsb/reports/ADA435563.pdf, 2005.
[15]
M. Beaumont, B. Hopkins, and T. Newby. Hardware trojans-prevention, detection, countermeasures (a literature review), 2011.
[16]
S. Wei, K. Li, F. Koushanfar, and M. Potkonjak. Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry. In Proc. ACM/IEEE Design Automation Conference, pp. 90--95, 2012.
[17]
Y. Jin, N. Kupp, and Y. Makris. Experiences in hardware trojan design and implementation. In Proc. IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 50--57, 2009.
[18]
Trust-Hub Website. https://www.trust-hub.org/.
[19]
R. Chakraborty and S. Bhunia. Security against hardware trojan through a novel application of design obfuscation. In Proc. IEEE/ACM International Conference on Computer-Aided Design, pp. 113--116, 2009.
[20]
A. Waksman and S. Sethumadhavan. Silencing hardware backdoors. In Proc. IEEE Symposium on Security and Privacy, pp. 49--63, 2011.
[21]
A. Waksman and S. Sethumadhavan. Tamper evident microprocessors. In Proc. IEEE Symposium on Security and Privacy, pp. 173--188, 2010.
[22]
T. Huffmire, et al. Moats and drawbridges: An isolation primitive for reconfigurable hardware based systems. In Proc. IEEE Symposium on Security and Privacy, pp. 281--295, 2007.
[23]
S. Vasudevan, J. A. Abraham, V. Viswanath, and J. Tu, Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. In Proc. ACM/IEEE International Conference on Formal Methods and Models for Co-Design, pp. 71--80, 2006.
[24]
OpenCores Website. http://opencores.org/.

Cited By

View all
  • (2024)Sequentially Triggering “Time-Bomb” Trojan into Hardware Wired MicrosequencerInternational Journal of Electrical Engineering and Computer Science10.37394/232027.2024.6.106(92-97)Online publication date: 19-Mar-2024
  • (2024)A Golden-Free Formal Method for Trojan Detection in Non-Interfering Accelerators2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546664(1-6)Online publication date: 25-Mar-2024
  • (2024)TD-Zero: Automatic Golden-Free Hardware Trojan Detection Using Zero-Shot LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.335488943:7(1998-2011)Online publication date: Jul-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '13: Proceedings of the 50th Annual Design Automation Conference
May 2013
1285 pages
ISBN:9781450320719
DOI:10.1145/2463209
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 29 May 2013

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Conference

DAC '13
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)32
  • Downloads (Last 6 weeks)3
Reflects downloads up to 11 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Sequentially Triggering “Time-Bomb” Trojan into Hardware Wired MicrosequencerInternational Journal of Electrical Engineering and Computer Science10.37394/232027.2024.6.106(92-97)Online publication date: 19-Mar-2024
  • (2024)A Golden-Free Formal Method for Trojan Detection in Non-Interfering Accelerators2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546664(1-6)Online publication date: 25-Mar-2024
  • (2024)TD-Zero: Automatic Golden-Free Hardware Trojan Detection Using Zero-Shot LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.335488943:7(1998-2011)Online publication date: Jul-2024
  • (2024)FAST-GO: Fast, Accurate, and Scalable Hardware Trojan Detection using Graph Convolutional Networks2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528759(1-8)Online publication date: 3-Apr-2024
  • (2024)Post-configuration Activation of Hardware Trojans in FPGAsJournal of Hardware and Systems Security10.1007/s41635-024-00147-5Online publication date: 13-Mar-2024
  • (2023)Systematic Trojan Detection in Crypto-Systems Using the Model CheckerJournal of Circuits, Systems and Computers10.1142/S021812662450045233:03Online publication date: 5-Oct-2023
  • (2023)Circuit Topology-Aware Vaccination-Based Hardware Trojan DetectionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.323444042:9(2852-2862)Online publication date: Sep-2023
  • (2023)Efficacy of Side-Channel Analysis for Hardware Trojan Detection: A Case Study of CNTFET2023 26th International Conference on Computer and Information Technology (ICCIT)10.1109/ICCIT60459.2023.10441616(1-6)Online publication date: 13-Dec-2023
  • (2023)Multi-Objective Optimization Based Test Pattern Generation for Hardware Trojan DetectionJournal of Electronic Testing10.1007/s10836-023-06071-w39:3(371-385)Online publication date: 16-Jun-2023
  • (2022)Toward Building and Optimizing Trustworthy Systems Using Untrusted Components: A Graph-Theoretic PerspectiveIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.308676541:5(1386-1399)Online publication date: May-2022
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media