Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2742060.2742091acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
short-paper

Reconfigurable: Self Adaptive Fault Tolerant Cache Memory for DVS enabled Systems

Published: 20 May 2015 Publication History

Abstract

Processor caches play a critical role in the performance of today"s computer systems. As technology scales, due to manufacturing defects and process variations a large number of cells in a cache is expected to be faulty. The number of faulty cells varies from die to die and in the field of the application depends on the operating conditions (e.g., supply voltage, frequency). Several techniques have been proposed to tolerate faults in caches. A drawback of the redundancy based techniques is that the amount of redundancy is decided at the design time targeting a maximum number of faults, so in cases of a small number of faults (e.g., in the nominal supply voltage in a system with DVS) only a part of the redundant resources is used. In this paper we propose a new reconfigurable-self adaptive fault tolerant cache scheme. The unique characteristic of our scheme is that it uses its resources for both the reduction of the misses caused by the faulty blocks as well as for the reduction of conflict misses, depending on the number of faults, their distribution in the cache, and the running application. Our experimental results for a wide range of scientific applications and a plethora of fault maps with different SRAM failure probabilities reveal that our proposal can achieve significant benefits.

References

[1]
J. Abella et al. "Low Vccmin Fault-Tolerant Cache with Highly Predictable Performance," Proc. of Intl. Symposium on Microarchitecture, 2009.
[2]
J. Abella et al. "RVC: A mechanism for time-analyzable real-time processors with faulty Caches," Proc. of High Performance and Embedded Architectures and Compilers, 2011.
[3]
A. Ansari et al. "Enabling ultra low voltage system operation by tolerating on-chip cache failures," Proc. of Intl. Symposium on Low power electronics and design, 2009.
[4]
A. Ansari et al. "Zerehcache: Armoring cache architectures in high defect density technologies," Proc. of Annual Intl. Symposium on Microarchitecture, 2009.
[5]
A. Agarwal et al. "Process Variation in Embedded Memories: Failure Analysis and Variation Aware Architecture," Trans. on Solid State Circuits, 2005.
[6]
D. K. Bhavsar and J. H. Edmondson. "Alpha 21164 Testability Strategy," Design & Test of Computers, 1997.
[7]
B. Calhoun et al. "A 256kb sub-threshold SRAM in 65nm CMOS," Proc. of Solid-State Circuits Conference, 2006.
[8]
G. Chen et al. "Yield-driven near-threshold SRAM design," Trans. on Very Large Scale Integration Systems, 2007.
[9]
N. P. Jouppi. "Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers," Proc. of Intl. Symposium on Computer Architecture, 1990.
[10]
G. Keramidas et al. "Spatial pattern prediction based management of faulty data caches," Proc. of Intl. Conference Design, Automation, and Test in Europe. 2014.
[11]
C. K. Koh et al. "Tolerating process variations in large, set associative caches: The buddy cache," Trans. on Architecture and Code Optimization, 2009.
[12]
J. P. Kulkarni, K. Keejong, and K. Roy. "A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM," Proc. of Intl. Symposium of Low Power Electronics and Design, 2007.
[13]
N. Ladas, Y. Sazeides, and V. Desmet. "Performance-Effective Operation below Vcc-min," in Proc. of Intl. Symposium on Performance Analysis of systems & Software, 2010.
[14]
S. Lin and D. J. Costello. "Error Control Coding (2nd Edition)," Prentice Hall, 2004.
[15]
M. A. Makhzan et al. "Limits on Voltage Scaling for Caches Utilizing Fault Tolerant Techniques," Proc. of Intl. Conference on Computer Design, 2007.
[16]
S. Ozdemir et al. "Yield-Aware Cache Architectures," Proc. of Intl. Symposium on Microarchitecture, 2006.
[17]
F. Pour and M. D. Hill. "Performance implications of tolerating cache faults," Trans. on Computers, 1993.
[18]
D. Roberts, N. S. Kim, and T. Mudge. "On-chip cache device scaling limits and effective fault repair techniques in future nanoscale technology," Proc. of Digital System Design Architectures, Methods and Tools, 2007.
[19]
A. Sasan et al. "A fault tolerant cache architecture for sub 500mV operation: resizable data composer cache (RDC-cache)," Proc. of Intl. Conference on Compilers, Architecture, and Synthesis for Embedded Systems, 2009.
[20]
A. Sasan et al. "Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation," Trans. on Very Large Scale Integration (VLSI) Systems, 2010.
[21]
S. E. Schuster. "Multiple word/bit line redundancy for semiconductor memories," Trans. on Solid State Circuits, 1978.
[22]
P. Shirvani and E. McCluskey. "PADded cache: a new fault tolerance technique for cache memories," Proc. of VLSI Test Symposium, 1999.
[23]
G. Sohi. "Cache Memory Organization to Enhance the Yield of High-Performance VLSI Processors," Trans. on Computers, 1989.
[24]
H. T. Vergos and D. Nikolos. "Performance Recovery in Direct-Mapped Faulty Caches via the Use of a Very Small Fully Associative Spare Cache," Proc. of Intl. Computer Performance and Dependability Symposium, 1995.
[25]
C. Wilkerson et.al. "Trading off Cache Capacity for Reliability to Enable Low Voltage Operation," Proc. of Intl. Symposium on Computer Architecture, 2008.

Cited By

View all
  • (2022)Enabling efficient sub-block disabled caches using coarse grain spatial predictionsMicroprocessors and Microsystems10.1016/j.micpro.2022.10447990(104479)Online publication date: Apr-2022
  • (2016)A Fault-Tolerant L1 Cache with Predictable Performance by Virtual Filter Cache2016 13th International Conference on Embedded Software and Systems (ICESS)10.1109/ICESS.2016.31(60-66)Online publication date: Aug-2016

Index Terms

  1. Reconfigurable: Self Adaptive Fault Tolerant Cache Memory for DVS enabled Systems

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSI
    May 2015
    418 pages
    ISBN:9781450334747
    DOI:10.1145/2742060
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CEDA
    • IEEE CASS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 20 May 2015

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. fault tolerance
    2. processor cache memories
    3. reconfigurable caches

    Qualifiers

    • Short-paper

    Funding Sources

    • Greek national funds
    • European Social Fund " ESF

    Conference

    GLSVLSI '15
    Sponsor:
    GLSVLSI '15: Great Lakes Symposium on VLSI 2015
    May 20 - 22, 2015
    Pennsylvania, Pittsburgh, USA

    Acceptance Rates

    GLSVLSI '15 Paper Acceptance Rate 41 of 148 submissions, 28%;
    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)6
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Enabling efficient sub-block disabled caches using coarse grain spatial predictionsMicroprocessors and Microsystems10.1016/j.micpro.2022.10447990(104479)Online publication date: Apr-2022
    • (2016)A Fault-Tolerant L1 Cache with Predictable Performance by Virtual Filter Cache2016 13th International Conference on Embedded Software and Systems (ICESS)10.1109/ICESS.2016.31(60-66)Online publication date: Aug-2016

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media