Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Performance Implications of Tolerating Cache Faults

Published: 01 March 1993 Publication History
  • Get Citation Alerts
  • Abstract

    The authors investigate how much cache miss ratios increase when blocks are disabled. It is shown how the mean miss ratio increase can be characterized as a function of the miss ratios of related caches, an efficient approach is developed for calculating the exact distribution of miss ratio increases from all fault patterns, and this approach is applied to the ATUM traces. Results reveal that the mean relative miss ratio increase from a few faults decreases with increasing cache size and is negligible (>2% per defect) unless a set is completely disabled by faults. The maximum relative increase is also acceptable (5% per fault) if no set is entirely disabled.

    References

    [1]
    {1} A. Agarwal, R. Sites, and M. Horowitz, "ATUM: A new technique for capturing address traces using microcode," in Proc. 13th Annu. Symp. Comput. Architecture, Tokyo, Japan, June 1986, pp. 119-129.
    [2]
    {2} A. Agarwal, M. Horowitz, and J. Hennessy, "An analytical cache model," ACM Trans. Comput. Syst., vol. 7, no. 2, pp. 184-215, May 1989.
    [3]
    {3} A. D. Berenbaum, B. W. Colbry, D. R. Ditzel, R. D. Freeman, H. R. McLellan, K. J. O'Connor, and M. Shoji, "CRISP: A pipelined 32- bit microprocessor with 13-kbit of cache memory," IEEE J. Solid-State Circuits, vol. SC-22, no. 5, pp. 776-782, Oct. 1987.
    [4]
    {4} J. R. Goodman, "Using cache memory to reduce processor-memory traffic," in Proc. Tenth Int. Symp. Comput. Architecture, Stockholm, Sweden, June 1983, pp. 124-131.
    [5]
    {5} M. D. Hill and A. J. Smith, "Evaluating associativity in CPU caches," IEEE Trans. Comput., vol. C-38, no. 12, pp. 1612-1630, Dec. 1989.
    [6]
    {6} M. Horowitz, P. Chow, D. Stark, R. T. Simoni, A. Salz, S. Przybylski, J. Hennessy, G. Gulak, A. Agarwal, and J. M. Acken, "MIPS-X: A 20-MIPS peak, 32-bit microprocessor with on-chip cache," IEEE J. Solid-State Circuits, vol. SC-22, no. 5, pp. 790-799, Oct. 1987.
    [7]
    {7} N. P. Jouppi, "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers," in Proc. 17th Annu. Symp. Comput. Architecture, Computer Architecture News, vol. 18, no. 2, ACM, June 1990, pp. 364-373.
    [8]
    {8} H. Kadota, J. Miyake, I. Okabayashi, T. Maeda, T. Okamoto, M. Nakajima, and K. Kagawa, "A 32-bit CMOS microprocessor with on-chip cache and TLB," IEEE J. Solid-State Circuits, vol. SC-22, no. 5, pp. 800-807, Oct. 1987.
    [9]
    {9} M. Litzkow, M. Livny, and M. W. Mutka, "Condor--A hunter of idle workstations," in Proc. 8th Int. Conf. Distributed Comput. Syst., San Jose, CA, June 1988.
    [10]
    {10} R. L. Mattson, J. Gecsei, D. R. Schultz, and I. L. Traiger, "Evaluation techniques for storage hierarchies," IBM Syst. J., vol. 9, no. 2, pp. 78-117, 1970.
    [11]
    {11} D. A. Patterson, P. Garrison, M. Hill, D. Lioupis, C. Nyberg, T. Sippel, and K. Van Dyke, "Architecture for a VLSI instruction cache for a RISC," in Proc. Tenth Annu. Symp. Comput. Architecture, vol. 11, no. 3, Stockholm, Sweden, June 13-17, 1983, pp. 108-116.
    [12]
    {12} D. Phillips, "The Z80000 Microprocessor," IEEE Micro, pp. 23-36, Dec. 1985.
    [13]
    {13} F. Pour and M. D. Hill, "Performance implications of tolerating cache faults," Comput. Sci. Tech. Rep. 991, Univ. of Wisconsin, Jan. 1991.
    [14]
    {14} A. J. Smith, "Cache memories," Comput. Surveys, vol. 14, no. 3, pp. 473-530, Sept. 1982.
    [15]
    {15} G. Sohi, "Cache memory organization to enhance the yield of high-performance VLSI processors," IEEE Trans. Comput., vol. 38, no. 4, pp. 484-492, Apr. 1989.

    Cited By

    View all
    • (2017)Evaluating impact on CMPs' power for design inaccuracy diagnosisInternational Journal of Computer Applications in Technology10.1504/IJCAT.2017.08819556:3(198-209)Online publication date: 1-Jan-2017
    • (2015)ReconfigurableProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742091(161-166)Online publication date: 20-May-2015
    • (2014)Spatial pattern prediction based management of faulty data cachesProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616680(1-6)Online publication date: 24-Mar-2014
    • Show More Cited By

    Index Terms

    1. Performance Implications of Tolerating Cache Faults
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image IEEE Transactions on Computers
          IEEE Transactions on Computers  Volume 42, Issue 3
          March 1993
          130 pages

          Publisher

          IEEE Computer Society

          United States

          Publication History

          Published: 01 March 1993

          Author Tags

          1. ATUM traces
          2. buffer storage
          3. cache faults
          4. fault patterns
          5. fault tolerant computing
          6. performance evaluation.
          7. performance implications

          Qualifiers

          • Research-article

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)0
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 09 Aug 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2017)Evaluating impact on CMPs' power for design inaccuracy diagnosisInternational Journal of Computer Applications in Technology10.1504/IJCAT.2017.08819556:3(198-209)Online publication date: 1-Jan-2017
          • (2015)ReconfigurableProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742091(161-166)Online publication date: 20-May-2015
          • (2014)Spatial pattern prediction based management of faulty data cachesProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616680(1-6)Online publication date: 24-Mar-2014
          • (2013)Modeling the impact of permanent faults in cachesACM Transactions on Architecture and Code Optimization10.1145/2541228.254123610:4(1-23)Online publication date: 1-Dec-2013
          • (2012)The Performance Vulnerability of Architectural and Non-architectural Arrays to Permanent FaultsProceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2012.14(48-59)Online publication date: 1-Dec-2012
          • (2011)DEFCAMACM Transactions on Architecture and Code Optimization10.1145/2019608.20196168:3(1-29)Online publication date: 18-Oct-2011
          • (2011)RVCProceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers10.1145/1944862.1944878(97-106)Online publication date: 24-Jan-2011
          • (2010)A scalable circuit-architecture co-design to improve memory yield for high-performance processorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.202262818:8(1209-1219)Online publication date: 1-Aug-2010
          • (2005)A cache-defect-aware code placement algorithm for improving the performance of processorsProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129741(995-1001)Online publication date: 31-May-2005
          • (2005)Soft error mitigation in cache memories of embedded systems by means of a protected schemeProceedings of the Second Latin-American conference on Dependable Computing10.1007/11572329_11(121-130)Online publication date: 25-Oct-2005
          • Show More Cited By

          View Options

          View options

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media