Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective

Published: 17 February 2016 Publication History

Abstract

Embedded systems must address a multitude of potentially conflicting design constraints such as resiliency, energy, heat, cost, performance, security, etc., all in the face of highly dynamic operational behaviors and environmental conditions. By incorporating elements of intelligence, the hope is that the resulting “smart” embedded systems will function correctly and within desired constraints in spite of highly dynamic changes in the applications and the environment, as well as in the underlying software/hardware platforms. Since terms related to “smartness” (e.g., self-awareness, self-adaptivity, and autonomy) have been used loosely in many software and hardware computing contexts, we first present a taxonomy of “self-x” terms and use this taxonomy to relate major “smart” software and hardware computing efforts. A major attribute for smart embedded systems is the notion of self-awareness that enables an embedded system to monitor its own state and behavior, as well as the external environment, so as to adapt intelligently. Toward this end, we use a System-on-Chip perspective to show how the CyberPhysical System-on-Chip (CPSoC) exemplar platform achieves self-awareness through a combination of cross-layer sensing, actuation, self-aware adaptations, and online learning. We conclude with some thoughts on open challenges and research directions.

References

[1]
ARM Inc. 2013. big.LITTLE technology: The future of mobile. Retrieved from http://www.arm.com/files/pdf/big_LITTLE_Technology_the_Futue_of_Mobile.pdf.
[2]
Todd Austin, Valeria Bertacco, Scott Mahlke, and Yu Cao. 2008. Reliable systems on unreliable fabrics. IEEE Design & Test of Computers 25, 4 (2008), 322--332.
[3]
B. J. Baars. 1989. A Cognitive Theory of Consciousness. Cambridge University Press.
[4]
B. J. Baars. 2002. The conscious access hypothesis: Origins and recent evidence. Trends in Cognitive Science 6, 1 (2002), 47--52.
[5]
Bernard J. Baars and Stan Franklin. 2009. Consciousness is computational: The LIDA model of global workspace theory. International Journal of Machine Consciousness, World Scientific Publishing Company (2009).
[6]
M. Bakhouya. 2011. A bio-inspired architecture for autonomic network-on-chip. In Autonomic Networking-on-Chip Bio-Inspired Specification, Development, and Verification, Phan Cong-Vinh (Ed.). CRC Press, 1--20.
[7]
M. Bakhouya and J. Gaber. 2014. Bio-inspired approaches for engineering adaptive systems. Procedia Computer Science 32 (2014), 862--869. The 5th International Conference on Ambient Systems, Networks and Technologies (ANT-2014), the 4th International Conference on Sustainable Energy Information Technology (SEIT-2014).
[8]
Luiz André Barroso, Jimmy Clidaras, and Urs Hölzle. 2013. The datacenter as a computer: An introduction to the design of warehouse-scale machines. Synthesis Lectures on Computer Architecture 8, 3 (2013), 1--154.
[9]
Luiz André Barroso and Urs Hölzle. 2007. The case for energy-proportional computing. IEEE Computer 40, 12 (2007), 33--37.
[10]
Andrea Bartolini, Matteo Cacciari, Andrea Tilli, and Luca Benini. 2011. A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011. IEEE, 1--6.
[11]
Andrea Bartolini, Matteo Cacciari, Andrea Tilli, Luca Benini, and Matthias Gries. 2010. A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. In Proceedings of the 20th Symposium on Great Lakes Symposium on VLSI. ACM, 311--316.
[12]
Robert C. Baumann. 2005. Radiation-induced soft errors in advanced semiconductor technologies. IEEE Transactions on Device and Materials Reliability 5, 3 (2005), 305--316.
[13]
L. Benini, A. Bogliolo, and G. De Micheli. 2000. A survey of design techniques for system-level dynamic power management. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 8, 3 (June 2000), 299--316.
[14]
Joseph B. Bernstein, Moshe Gurfinkel, Xiaojun Li, Jörg Walters, Yoram Shapira, and Michael Talmor. 2006. Electronic circuit reliability modeling. Microelectronics Reliability 46, 12 (2006), 1957--1979.
[15]
Flavio Bonomi, Rodolfo Milito, Jiang Zhu, and Sateesh Addepalli. 2012. Fog computing and its role in the internet of things. In Proceedings of the ast Edition of the MCC Workshop on Mobile Cloud Computing (MCC’12). ACM, New York, NY, 13--16.
[16]
Shekhar Borkar. 2011. 3D integration for energy efficient system design. In Proceedings of the 48th Design Automation Conference. ACM, 214--219.
[17]
Shekhar Borkar. 2013. Achieving energy efficiency by HW/SW co-design. In Proceedings of the 3rd Berkeley Symposium on Energy Efficient Electronic Systems. https://www.youtube.com/watch?v=ZKVObiEjANE.
[18]
Shekhar Borkar and Andrew A. Chien. 2011. The future of microprocessors. Communications of the ACM 54, 5 (2011), 67--77.
[19]
Shekhar Borkar, Tanay Karnik, Siva Narendra, Jim Tschanz, Ali Keshavarzi, and Vivek De. 2003. Parameter variations and impact on circuits and microarchitecture. In Proceedings of the 40th Annual Design Automation Conference (DAC’03). ACM, New York, NY, 338--342.
[20]
David Brooks and Margaret Martonosi. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA 2001). IEEE, 171--182.
[21]
F. Cancare, S. Bhandari, D. B. Bartolini, M. Carminati, and M. D. Santambrogio. 2011. A bird’s eye view of FPGA-based evolvable hardware. In Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS). 169--175.
[22]
Nicholas P. Carter, Helia Naeimi, and Donald S. Gardner. 2010. Design techniques for cross-layer resilience. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 1023--1028.
[23]
Tao Chen, Funmilade Faniyi, Rami Bahsoon, Peter R. Lewis, Xin Yao, Leandro L. Minku, and Lukas Esterle. 2014. The handbook of engineering self-aware and self-expressive systems. Computing Research Repository (CoRR) abs/1409.1793 (2014). http://arxiv.org/abs/1409.1793.
[24]
Betty H. C. Cheng and others. 2009b. Software engineering for self-adaptive systems: A research roadmap. In Software Engineering for Self-Adaptive Systems, Betty HC Cheng, Rogério de Lemos, Paola Inverardi, and Jeff Magee (Eds.). Springer.
[25]
Betty H. C. Cheng, Rogério de Lemos, Holger Giese, Paola Inverardi, Jeff Magee, Jesper Andersson, Basil Becker, Nelly Bencomo, Yuriy Brun, Bojan Cukic, and others. 2009a. Software Engineering for Self-adaptive Systems: A Research Roadmap. Springer.
[26]
A. Clark. 2001. Mindware: An Introduction to the Philosophy of Cognitive Science. Oxford University Press, New York.
[27]
Phan Cong-Vinh (Ed.). 2011. Autonomic Networking-on-Chip: Bio-Inspired Specification, Development, and Verification. CRC Press. http://www.crcnetbase.com/isbn/9781439829134.
[28]
Ayse Kivilcim Coskun, Tajana Simunic Rosing, and Kenny C. Gross. 2008. Temperature management in multiprocessor SoCs using online learning. In Proceedings of the 45th ACM/IEEE Design Automation Conference (DAC 2008). IEEE, 890--893.
[29]
Matthew Curtis-Maury, Filip Blagojevic, Christos D. Antonopoulos, and Dimitrios S. Nikolopoulos. 2008. Prediction-based power-performance adaptation of multithreaded scientific codes. IEEE Transactions on Parallel and Distributed Systems 19, 10 (2008), 1396--1410.
[30]
Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David M. Bull, and David T . Blaauw. 2009. RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE Journal of Solid-State Circuits 44, 1 (2009), 32--48.
[31]
Gaurav Dhiman, Raid Ayoub, and Tajana Rosing. 2009. PDRAM: A hybrid PRAM and DRAM main memory system. In Proceedings of the 46th ACM/IEEE Design Automation Conference (DAC’09). IEEE, 664--669.
[32]
E. W. Dijkstra. 1974. Self-stabilizing systems in spite of distributed control. Communications of the ACM 17, 11 (1974), 643--644.
[33]
Thomas Ebi, M. Faruque, and Jörg Henkel. 2009. Tape: Thermal-aware agent-based power econom multi/many-core architectures. In Proceedings of the 2009 IEEE/ACM International Conference on Computer-Aided Design-Digest of Technical Papers (ICCAD 2009). IEEE, 302--309.
[34]
Mica R. Endsley. 1988. Design and evaluation for situation awareness enhancement. In Proceedings of the Human Factors and Ergonomics Society 32th Annual Meeting. 97--101.
[35]
Hadi Esmaeilzadeh, Emily Blem, Renee St Amant, Karthikeyan Sankaralingam, and Doug Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA 2011). IEEE, 365--376.
[36]
P. J. Montestruque, L. A. McMickell, M. B. Lemmon, M. Yashan, Sun Hui, Fang Koutroulis, I. Haenggi, M. Min, Xie Xiaojuan, Xie Fang, and Lei Antsaklis. 2005. Design of a wireless assisted pedestrian dead reckoning system - the NavMote experience. IEEE Transactions on Instrumentation and Measurement 54, 6 (Nov. 2005 2005), 2342--2358.
[37]
F. Faniyi, P. R. Lewis, R. Bahsoon, and X. Yao. 2014. Architecting self-aware software systems. In Proceedings of the 2014 IEEE/IFIP Conference on Software Architecture (WICSA). 91--94.
[38]
Laurene V. Fausett. 1994. Fundamentals of Neural Networks. Prentice-Hall.
[39]
Michael Ferdman, Almutaz Adileh, Onur Kocberber, Stavros Volos, Mohammad Alisafaee, Djordje Jevdjic, Cansu Kaynak, Adrian Daniel Popescu, Anastasia Ailamaki, and Babak Falsafi. 2012. Clearing the clouds: A study of emerging scale-out workloads on modern hardware. ACM SIGARCH Computer Architecture News 40, 1 (2012), 37--48.
[40]
Michael S. Floyd, Soraya Ghiasi, Tom W. Keller, Karthick Rajamani, F. L. Rawson, Juan C. Rubio, and Malcolm S. Ware. 2007. System power management support in the IBM POWER6 microprocessor. IBM Journal of Research and Development 51, 6 (2007), 733--746.
[41]
Debanjan Ghosh, Raj Sharman, H. Raghav Rao, and Shambhu Upadhyaya. 2007. Self-healing systems - Survey and synthesis. Decision Support Systems 42, 4 (January 2007), 2164--2185.
[42]
G. Grey. 2013. big.LITTLE software update. Retrieved from http://www.linaro.org/blog/hardware-update/big-little-software-update/, 2013.
[43]
Liang Guang, Ethiopia Nigussie, Pekka Rantala, Jouni Isoaho, and Hannu Tenhunen. 2010a. Hierarchical agent monitoring design approach towards self-aware parallel systems-on-chip. ACM Transactions on Embedded Computer Systems 9, 3 (2010), 1--24.
[44]
L. Guang, G. Plosila, J. Isoaho, and H. Tenhunen. 2011. HAMSoC: A monitoring-centric design approach for adaptive parallel computing. In Autonomic Networking-on-Chip: Bio-Inspired Specification, Development, and Verification, Phan Cong-Vinh (Ed.). CRC Press, 135--164.
[45]
Liang Guang, Juha Plosila, Jouni Isoaho, and Hannu Tenhunen. 2010b. Hierarchical agent monitored parallel on-chip system: A novel design paradigm and its formal specification. International Journal of Embedded and Real-Time Communication Systems (IJERTCS) 1, 2 (2010).
[46]
Simon S. Haykin, Simon S. Haykin, Simon S. Haykin, and Simon S. Haykin. 2009. Neural Networks and Learning Machines. Vol. 3. Pearson Education, Upper Saddle River, NJ.
[47]
D. Sufen Fong, Aghajan H. Hengstler, and S. Prashanth. 2007. MeshEye: A hybrid-resolution smart camera mote for applications in distributed intelligent surveillance. In Proceedings of the 6th Internatinoal Symposium on Information Processing in Sensor Networks (IPSN 2007). Stanford University, Stanford, CA, 360--369.
[48]
J. Henkel, L. Bauer, J. Becker, O. Bringmann, U. Brinkschulte, S. Chakraborty, M. Engel, R. Ernst, H. Hartig, L. Hedrich, A. Herkersdorf, R. Kapitza, D. Lohmann, P. Marwedel, M. Platzner, W. Rosenstiel, U. Schlichtmann, O. Spinczyk, M. Tahoori, J. Teich, N. When, and H. Wunderlich. 2011. Design and architectures for dependable embedded systems. In Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2011). 69--78.
[49]
J. Henkel, A. Herkersdorf, L. Bauer, T. Wild, M. Hubner, R. K. Pujari, A. Grudnitsky, J. Heisswolf, A. Zaib, B. Vogel, V. Lari, and S. Kobbe. 2012. Invasive manycore architectures. In Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC 2012) 193--200.
[50]
T. Higuchi, Y. Liu, and X. Yao (Eds.). 2006. Evolvable Hardware. Springer Science+Media LLC, New York.
[51]
Eric Hoffman, Peter Martin, Thomas Pütz, Aymeric Trzmiel, and Karim Zeghal. 2007. Airborne spacing: Flight deck view of compatibility with continuous descent approach (CDA). Interface (September) (2007), 1--12.
[52]
H. Hoffmann. 2014. CoAdapt: Predictable behavior for accuracy-aware applications running on power-aware systems. In Proceedings of the 26th Euromicro Conference on Real-Time Systems (ECRTS 2014). 223--232.
[53]
Henry Hoffmann, Jonathan Eastep, Marco D. Santambrogio, Jason E. Miller, and Anant Agarwal. 2010a. Application heartbeats: A generic interface for specifying program performance and goals in autonomous computing environments. In Proceedings of the 7th International Conference on Autonomic Computing. ACM, 79--88.
[54]
H. Hoffmann, M. Maggio, M. D. Santambrogio, A. Leva, and A. Agarwal. 2013. A generalized software framework for accurate and efficient management of performance goals. In Proceedings of the 2013 International Conference on Embedded Software (EMSOFT). 1--10.
[55]
Henry Hoffmann, Martina Maggio, Marco D. Santambrogio, Alberto Leva, and Anant Agarwal. 2010b. Seec: A framework for self-aware computing. (2010).
[56]
Henry Hoffmann, Stelios Sidiroglou, Michael Carbin, Sasa Misailovic, Anant Agarwal, and Martin Rinard. 2011. Dynamic knobs for responsive power-aware computing. In ACM SIGPLAN Notices, Vol. 46. ACM, 199--212.
[57]
Kirak Hong, David Lillethun, Umakishore Ramachandran, Beate Ottenwälder, and Boris Koldehofe. 2013. Mobile fog: A programming model for large-scale applications on the internet of things. In Proceedings of the 2nd ACM SIGCOMM Workshop on Mobile Cloud Computing (MCC’13). ACM, New York, NY, 15--20.
[58]
Toshiyuki INAGAKI. 2005. Design of human interactions with smart machines: Lessons learned from aircraft accidents. In The 4th IARP/IEEE RAS/EURON, Keynote Lecture, June 17, 2005, Nagoya (2005).
[59]
Canturk Isci, Gilberto Contreras, and Margaret Martonosi. 2006. Live, runtime phase monitoring and prediction on real systems with application to dynamic power management. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 359--370.
[60]
Syed M. A. H. Jafri, Liang Guang, Axel Jantsch, Kolin Paul, Ahmed Hemani, and Hannu Tenhunen. 2012. Self-adaptive NoC power management with dual-level agents: Architecture and implementation. In Proceedings of the Conference on Self-adaptive Networked Embedded Systems. Rome, Italy. http://web.it.kth.se/∼axel/papers/2012/SANES-SyedJafri.pdf.
[61]
Axel Jantsch and Kalle Tammemäe. 2014. A framework of awareness for artificial subjects. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES’14). ACM, New York, NY, Article 20, 3 pages.
[62]
Brendan Jennings and Rolf Stadler. 2014. Resource management in clouds: Survey and research challenges. Journal of Network and Systems Management (2014), 1--53.
[63]
Hermann Kaindl, Mathieu Vallée, and Edin Arnautovic. 2013. Self-representation for self-configuration and monitoring in agent-based flexible automation systems. IEEE Transactions on Systems, Man, and Cybernetics: Systems 43, 1 (January 2013), 164--175.
[64]
Eric Karl, David Blaauw, Dennis Sylvester, and Trevor Mudge. 2006. Reliability modeling and management in dynamic microprocessor-based systems. In Proceedings of the 43rd Annual Design Automation Conference. ACM, 1057--1060.
[65]
J. O. Kephart and others. 2003. The vision of autonomic computing. Computer 36, 1 (jan 2003), 41--50.
[66]
Jeffrey O. Kephart and David M. Chess. 2003. The vision of autonomic computing. Computer 36, 1 (2003), 41--50.
[67]
V. B. Kleeberger, C. Gimmler-Dumont, C. Weis, A. Herkersdorf, D. Mueller-Gritschneder, S. R. Nassif, U. Schlichtmann, and N. Wehn. 2013. A cross-layer technology-based study of how memory errors impact system resilience. IEEE Micro 33, 4 (July 2013), 46--55.
[68]
Joonho Kong, Sung Woo Chung, and Kevin Skadron. 2012. Recent thermal management techniques for microprocessors. ACM Computer Surveys 44, 3, Article 13 (June 2012), 42 pages.
[69]
Georgios Kornaros and Dionisios Pnevmatikatos. 2013a. A survey and taxonomy of on-chip monitoring of multicore systems-on-chip. ACM Transactions on Design Automation of Electronic Systems 18, 2, Article 17 (April 2013), 38 pages.
[70]
Georgios Kornaros and Dionisios Pnevmatikatos. 2013b. A survey and taxonomy of on-chip monitoring of multicore systems-on-chip. ACM Transactions on Design Automation of Electronic Systems 18, 2, Article 17 (April 2013), 38 pages.
[71]
Rakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, and Dean M. Tullsen. 2003. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-36 2003). IEEE, 81--92.
[72]
Robert Laddaga. 2001. Active software. In Self-Adaptive Software. Lecture Notes in Computer Science, Vol. 1936. Springer, 11--26.
[73]
E. A. Lee. 2008. Cyber physical systems: Design challenges. In ISORC, 2008. 363--369.
[74]
Larkhoon Leem, Hyungmin Cho, Jason Bau, Quinn A. Jacobson, and Subhasish Mitra. 2010. ERSA: Error resilient system architecture for probabilistic applications. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010. IEEE, 1560--1565.
[75]
Charles R. Lefurgy, Alan J. Drake, Michael S. Floyd, Malcolm S. Allen-Ware, Bishop Brock, Jose A. Tierno, John B. Carter, and Robert W. Berry. 2013. Active guardband management in Power7+ to save energy and maintain reliability. IEEE Micro 33, 4 (2013), 35--45.
[76]
P. R. Lewis, A. Chandra, S. Parsons, E. Robinson, K. Glette, R. Bahsoon, J. Torresen, and Xin Yao. 2011. A survey of self-awareness and its application in computing systems. In Proceedings of the 5th IEEE Conference on Self-Adaptive and Self-Organizing Systems Workshops (SASOW 2011). 102--107.
[77]
Peter R. Lewis, Arjun Chandra, Funmilade Faniyi, Kyrre Glette, Tao Chen, Rami Bahsoon, Jim Torresen, and Xin Yao. 2015. Architectural aspects of self-aware and self-expressive computing systems. IEEE Computer (August 2015).
[78]
Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Semeen Rehman, Jörg Henkel, and Sri Parameswaran. 2013. RASTER: Runtime adaptive spatial/temporal error resiliency for embedded processors. In Proceedings of the 50th Annual Design Automation Conference. ACM, 62.
[79]
Lennart Ljung. 1998. System Identification. Springer.
[80]
L. Madden, S. Tokmouline, T. Csail, M. Stoianov, and I. Nachman. 2007. PIPENET: A wireless sensor network for pipeline monitoring. In Proceedings of the 6th International Symposium on Information Processing in Sensor Networks (IPSN 2007). Imperial College of London, 264--273.
[81]
Martina Maggio, Henry Hoffmann, Marco D. Santambrogio, Anant Agarwal, and Alberto Leva. 2011. Decision making in autonomic computing systems: Comparison of approaches and techniques. In Proceedings of the 8th ACM International Conference on Autonomic Computing (ICAC’11). ACM, New York, NY, 201--204.
[82]
Poirier Mathieu. 2013. In kernel switcher: A solution to support ARM’s new big.LITTLE technology. https://events.linuxfoundation.org/images/stories/slides/elc2013_poirier.pdf.
[83]
Pietro Mercati, Andrea Bartolini, Francesco Paterna, Tajana Simunic Rosing, and Luca Benini. 2013. Workload and user experience-aware dynamic reliability management in multicore processors. In Proceedings of the 50th Annual Design Automation Conference. ACM, 2.
[84]
Pietro Mercati, Andrea Bartolini, Francesco Paterna, Tajana Simunic Rosing, and Luca Benini. 2014a. A linux-governor based dynamic reliability manager for android mobile devices. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. IEEE, 1--4.
[85]
Pietro Mercati, Francesco Paterna, Andrea Bartolini, Luca Benini, and Tajana Simunic Rosing. 2014b. Dynamic variability management in mobile multicore processors under lifetime constraints. In Proceedings of the 32nd IEEE International Conference on Computer Design (ICCD 2014). 448--455.
[86]
Subhasish Mitra, Kevin Brelsford, Young Moon Kim, H.-H. K. Lee, and Yanjing Li. 2011. Robust system design to overcome CMOS reliability challenges. Emerging and Selected Topics in Circuits and Systems, IEEE Journal on 1, 1 (2011), 30--41.
[87]
Subhasish Mitra, Kevin Brelsford, and Pia N. Sanda. 2010. Cross-layer resilience challenges: Metrics and optimization. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010. IEEE, 1029--1034.
[88]
Sparsh Mittal. 2014. A survey of techniques for improving energy efficiency in embedded computing systems. International Journal of Computer Aided Engineering and Technology (2014).
[89]
Alain Morin. 2006. Levels of consciousness and self-awareness: A comparison and integration of various neurocognitive views. Consciousness and Cognition 15, 2 (2006), 358--371.
[90]
Lo. Motus, M. Meriste, and J. Preden. 2009. Towards middleware based situation awareness. In Military Communications Conference (MILCOM).
[91]
Sani R. Nassif, Nikil Mehta, and Yu Cao. 2010. A resilience roadmap. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 1011--1016.
[92]
Ripal Nathuji and Karsten Schwan. 2007. Virtualpower: Coordinated power management in virtualized enterprise systems. In ACM SIGOPS Operating Systems Review, Vol. 41. ACM, 265--278.
[93]
Ulric Neisser. 1997. The roots of self-knowledge: Perceiving self, it, and thou. Annals of the New York Academy of Sciences 818 (June 1997), 19--33.
[94]
P. Oreizy, M. M. Gorlick, R. N. Taylor, D. Heimhigner, G. Johnson, N. Medvidovic, A. Quilici, D. S. Rosenblum, and A. L. Wolf. 1999. An architecture-based approach to self-adaptive software. IEEE Intelligent Systems and Their Applications 14, 3 (May 1999), 54--62.
[95]
Organic Computing. Organic computing initiative. http://www.organic-computing.de/.
[96]
R. Culler, D. Polastre, and J. Szewczyk. 2005. Telos: Enabling ultra-low power wireless research. In Proceedings of the 4th International Symposium on Information Processing in Sensor Networks (IPSN 2005). Dept. of Computer Sciences, University of California, Berkeley, 364--369.
[97]
Jurgo Preden. 2014. Generating situation awareness in cyber-physical systems: Creation and exchange of situational information. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis. ACM, New York, NY.
[98]
J. Preden, J. Llinas, G. Rogava, R. Pathma, and L. Motus. 2013. On-line data validation in distributed data fusion. In Ground/Air Multisensor Interoperability, Integration, and Networking for Persistent ISR IV: SPIE Defense, Security and Sensing, T. Pham, M. A. Kolodny, and K. L. Priddy (Eds.). SPIE - International Society for Optics and Photonics.
[99]
Jürgo-Sören Preden. 2012. Enhancing Situation-Awareness, Cognition and Reasoning of Ad-Hoc Network Agents. Ph.D. Dissertation. Tallinn University of Technology. Thesis on informatics and system engineering C56.
[100]
Jürgo-Sören Preden and J. Helander. 2006. Auto-adaptation driven by observed context histories. In Proceedings of ECHISE (Exploiting Context Histories in Smart Environments) Workshop at UbiComp.
[101]
Harald Psaier and Schahram Dustdar. 2011. A survey on self-healing systems: Approaches and systems. Computing 91, 1 (2011), 43--73.
[102]
Z. W. Pylyshyn. 1984. Computation and Cognition (2nd ed.). MIT Press.
[103]
Heather M. Quinn, Andre De Hon, and Nick Carter. 2011. CCC Visioning Study: System-Level Cross-Layer Cooperation to Achieve Predictable Systems From Unpredictable Components. Technical Report. Los Alamos National Laboratory (LANL).
[104]
Arun Raghavan, Yixin Luo, Anuj Chandawalla, Marios Papaefthymiou, Kevin P. Pipe, Thomas F. Wenisch, and Milo M. K. Martin. 2012. Computational sprinting. In Proceedings of the 18th International Symposium on High Performance Computer Architecture (HPCA 2012). IEEE, 1--12.
[105]
Krishna K. Rangan, Gu-Yeon Wei, and David Brooks. 2009. Thread motion: Fine-grained power management for multi-core systems. In ACM SIGARCH Computer Architecture News, Vol. 37. ACM, 302--313.
[106]
Vijay Janapa Reddi, Meeta Sharma Gupta, Glenn Holloway, Gu-Yeon Wei, Michael D. Smith, and David Brooks. 2009. Voltage emergency prediction: Using signatures to reduce operating margins. In Proceedings of the 15 International Symposium on High Performance Computer Architecture (HPCA 2009). IEEE, 18--29.
[107]
Vijay Janapa Reddi, Svilen Kanev, Wonyoung Kim, Simone Campanoni, Michael D. Smith, Gu-Yeon Wei, and David Brooks. 2010. Voltage smoothing: Characterizing and mitigating voltage noise in production processors via software-guided thread scheduling. In MICRO. 77--88.
[108]
Vijay Janapa Reddi, David Z. Pan, Sani R. Nassif, and Keith A. Bowman. 2012. Robust and resilient designs from the bottom-up: Technology, CAD, circuit, and system issues. In ASP-DAC. 7--16.
[109]
Semeen Rehman, Florian Kriebel, Duo Sun, Muhammad Shafique, and Jörg Henkel. 2014. dTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effects. In Proceedings of the the 51st Annual Design Automation Conference on Design Automation Conference. ACM, 1--6.
[110]
Efraim Rotem, Alon Naveh, Doron Rajwan, Avinash Ananthakrishnan, and Eliezer Weissmann. 2012. Power-management architecture of the Intel microarchitecture code-named sandy bridge. IEEE Micro 32, 2 (2012), 0020--27.
[111]
Michael Rubenstein, Christian Ahler, and Radhika Nagpal. 2012. Kilobot: A low cost scalable robot system for collective behaviors. In Proceedings of the IEEE International Conference on Robotics and Automation (ICRA 2012). IEEE, 3293--3298.
[112]
Michael Rubenstein, Alejandro Cornejo, and Radhika Nagpal. 2014. Programmable self-assembly in a thousand-robot swarm. Science 345, 6198 (2014), 795--799.
[113]
Mazeiar Salehie and Ladan Tahvildari. 2009. Self-adaptive software: Landscape and research challenges. ACM Transactions on Autonomous and Adaptive Systems (TAAS) 4, 2 (2009), 14.
[114]
M. G. Sánchez-Escribano and Ricardo Sanz. 2014. Emotions and the engineering of adaptiveness. In Procedia Computer Science: Conference on Systems Engineering Research, Vol. 28. Elsevier, 473--480.
[115]
Marco D. Santambrogio, Henry Hoffmann, Jonathan Eastep, and Anant Agarwal. 2010. Enabling technologies for self-aware adaptive systems. In Proceedings of the 2010 NASA/ESA Conference on Adaptive Hardware and Systems (AHS). IEEE, 149--156.
[116]
Ricardo Sanz, Ignacio López, Manuel Rdoríguez, and Carlos Hernández. 2007. Principles for consciousness in integrated cognitive control. Neural Networks 20, 9 (11 2007).
[117]
Santanu Sarma and Nikil Dutt. 2014a. FPGA emulation and prototyping of a cyberphysical-system-on-chip (CPSoC). In Proceedings of the International Symposium on Rapid System Prototyping (RSP).
[118]
Santanu Sarma and Nikil Dutt. 2014b. Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation and tracking. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. 1--6.
[119]
Santanu Sarma, Nikil Dutt, P. Gupta, A. Nicolau, and N. Venkatasubramanian. 2014. On-chip self-awareness using cyberphysical-systems-on-chip (CPSoC). In Proceedings of the 12th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[120]
Santanu Sarma, Nikil Dutt, P. Gupta, A. Nicolau, and N. Venkatasubramanian. 2015. Cyberphysical-system-on-chip (CPSoC): A self-aware MPSoC paradigm with cross-layer virtual sensing and actuation. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2015.
[121]
Santanu Sarma, Nikil Dutt, and Nalini Venkatasubramanian. 2012. Cross-layer virtual observers for embedded multiprocessor system-on-chip (MPSoC). In Proceedings of the 11th International Workshop on Adaptive and Reflective Middleware (ARM’12). ACM, New York, NY, Article 4, 7 pages.
[122]
Santanu Sarma, Nikil Dutt, N. Venkatasubramaniana, A. Nicolau, and P. Gupta. 2013. CyberPhysical-System-On-Chip (CPSoC): Sensor-Actuator Rich Self-Aware Computational Platform. Technical Report CECS-TR-13-06. Center for Embedded Computer Systems, University of California, Irvine.
[123]
Santanu Sarma, T. Muck, L. A. D. Bathen, N. Dutt, and A. Nicolau. 2015. SmartBalance: A sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs. In DAC 2015.
[124]
Ichiro Satoh. 2013. A framework for data processing at the edges of networks. In Database and Expert Systems Applications. 304--318.
[125]
Muhammad Shafique, Siddharth Garg, Tulika Mitra, Sri Parameswaran, and Jörg Henkel. 2014. Dark silicon as a challenge for hardware/software co-design: Invited special session paper. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis. ACM, 13.
[126]
Muhammad Shafique and Jörg Henkel. 2013. Agent-based distributed power management for kilo-core processors. In Proceedings of the International Conference on Computer-Aided Design. IEEE Press, 153--160.
[127]
Muhammad Shafique, Benjamin Vogel, and Jörg Henkel. 2013. Self-adaptive hybrid dynamic power management for many-core systems. In Proceedings of the Conference on Design, Automation and Test in Europe. EDA Consortium, 51--56.
[128]
Michael W. Shapiro. 2004. Self-healing in modern operating systems. Queue 2, 9 (Dec 2004), 66--75.
[129]
Victor Shnayder, Bor-rong Chen, Konrad Lorincz, Thaddeus R. F. Fulford Jones, and Matt Welsh. 2005. Sensor networks for medical care. In SenSys, Vol. 5. 314--314.
[130]
A. Singhee and R. Rutenbar. 2010. Extreme Statistics in Nanoscale Memory Design. Springer.
[131]
Ranjani Sridharan, Nikhil Gupta, and Rabi Mahapatra. 2008. Feedback-controlled reliability-aware power management for real-time embedded systems. In Proceedings of the 45th ACM/IEEE Conference on Design Automation (DAC 2008). IEEE, 185--190.
[132]
S. Sarma, N. Dutt, and P. Gupta. 2014. Strength of Diversity: Exploiting Cheap Heterogeneous Noisy Sensors for Accurate Full-Chip Thermal Estimation. Technical Report CECS-TR-14-011. Univeristy of California Irvine.
[133]
Sujesha Sudevalayam and Purushottam Kulkarni. 2011. Energy harvesting sensor nodes: Survey and implications. Communications Surveys & Tutorials, IEEE 13, 3 (2011), 443--461.
[134]
Jin Sun, Avinash Kodi, Ahmed Louri, and Janet Meiling Wang. 2009. NBTI aware workload balancing in multi-core systems. In Proceedings of the 2009 Quality of Electronic Design (ISQED 2009). IEEE, 833--838.
[135]
Jin Sun, Rui Zheng, Jyothi Velamala, Yu Cao, Roman Lysecky, Karthik Shankar, and Janet Roveda. 2013. A self-tuning design methodology for power-efficient multi-core systems. ACM Transactions on Design Automation of Electronic Systems 18, 1, Article 4 (Jan. 2013), 24 pages.
[136]
Dennis Sylvester, David Blaauw, and Eric Karl. 2006. Elastic: An adaptive self-healing architecture for unpredictable silicon. IEEE Design & Test of Computers 23, 6 (2006), 484--490.
[137]
E. Thelen and L. B. Smith. 1994. A Dynamic Systems Approach to the Development of Cognition and Action. MIT Press, Cambridge, Massachusetts.
[138]
Akshat Verma, Puneet Ahuja, and Anindya Neogi. 2008. pMapper: Power and migration cost aware application placement in virtualized systems. In Middleware 2008. Springer, 243--264.
[139]
D. Vernon, G. Metta, and G. Sandini. 2007. A survey of artificial cognitive systems: Implications for the autonomous development of mental capabilities in computational agents. IEEE Transactions on Evolutionary Computation 11, 2 (April 2007), 151--180.
[140]
Xiaorui Wang and Yefu Wang. 2011. Coordinating power control and performance management for virtualized server clusters. IEEE Transactions on Parallel and Distributed Systems 22, 2 (Feb. 2011), 245--259.
[141]
Yefu Wang, Kai Ma, and Xiaorui Wang. 2009. Temperature-constrained power control for chip multiprocessors with online model estimation. In ACM SIGARCH Computer Architecture News, Vol. 37. ACM, 314--324.
[142]
Qiang Wu, Philo Juang, Margaret Martonosi, and Douglas W. Clark. 2004. Formal online methods for voltage/frequency control in multiple clock domain microprocessors. ACM SIGARCH Computer Architecture News 32, 5 (2004), 248--259.
[143]
Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, and David Brooks. 2005. A dynamic compilation framework for controlling microprocessor energy and performance. In Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 271--282.
[144]
Xiaoxia Wu, Jian Li, Lixin Zhang, Evan Speight, Ram Rajamony, and Yuan Xie. 2009. Hybrid cache architecture with disparate memory technologies. In ACM SIGARCH Computer Architecture News, Vol. 37. ACM, 34--45.
[145]
X. Yao and T. Higuchi. 1999. Promises and challenges of evolvable hardware. IEEE Transactions on Systems 29, 1 (February 1999), 87--97.
[146]
Juan Ye, Simon Dobson, and Susan McKeever. 2012. Situation identification techniques in pervasive computing: A review. Pervasive and Mobile Computing 8, 1 (Feb. 2012), 36--66.
[147]
H. Zakaria, E. Yahya, and L. Fesquet. 2011. Self-adaption in SoCs. In Autonomic Networking-on-Chip - Bio-Inspired Specification, Development, and Verification, Phan Cong-Vinh (Ed.). CRC Press, Chapter 8.

Cited By

View all
  • (2024)A Survey of MPSoC Management toward Self-AwarenessMicromachines10.3390/mi1505057715:5(577)Online publication date: 26-Apr-2024
  • (2024)A Custom RISC-V Based SOC Chip for Commodity Barcode IdentificationIEEE Access10.1109/ACCESS.2024.339550212(61708-61716)Online publication date: 2024
  • (2024)Towards holonic power and energy systems – A novel ICT architecture as enabler for resilienceInternational Journal of Electrical Power & Energy Systems10.1016/j.ijepes.2024.110283162(110283)Online publication date: Nov-2024
  • Show More Cited By

Index Terms

  1. Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Embedded Computing Systems
    ACM Transactions on Embedded Computing Systems  Volume 15, Issue 2
    Special Issue on Innovative Design, Special Issue on MEMOCODE 2014 and Special Issue on M2M/IOT
    May 2016
    421 pages
    ISSN:1539-9087
    EISSN:1558-3465
    DOI:10.1145/2888407
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 17 February 2016
    Accepted: 01 October 2015
    Received: 01 June 2015
    Published in TECS Volume 15, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Index terms—dynamic power management
    2. dynamic reliability management
    3. prediction
    4. reliability modeling
    5. resilience estimation
    6. resilience sensor
    7. variability

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    • National Science Foundation

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)73
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 06 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)A Survey of MPSoC Management toward Self-AwarenessMicromachines10.3390/mi1505057715:5(577)Online publication date: 26-Apr-2024
    • (2024)A Custom RISC-V Based SOC Chip for Commodity Barcode IdentificationIEEE Access10.1109/ACCESS.2024.339550212(61708-61716)Online publication date: 2024
    • (2024)Towards holonic power and energy systems – A novel ICT architecture as enabler for resilienceInternational Journal of Electrical Power & Energy Systems10.1016/j.ijepes.2024.110283162(110283)Online publication date: Nov-2024
    • (2023)Self-awareness in Cyber-Physical Systems: Recent Developments and Open Challenges2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137197(1-6)Online publication date: Apr-2023
    • (2023)FLEA - FIT-Aware Heuristic for Application Allocation in Many-Cores based on Q-Learning2023 XIII Brazilian Symposium on Computing Systems Engineering (SBESC)10.1109/SBESC60926.2023.10324296(1-6)Online publication date: 21-Nov-2023
    • (2023)Sensor and actuator integrated tooling systemsCIRP Annals10.1016/j.cirp.2023.05.00972:2(673-696)Online publication date: 2023
    • (2023)Monitoring the performance of multicore embedded systems without disrupting its timing requirementsDesign Automation for Embedded Systems10.1007/s10617-023-09278-427:4(217-239)Online publication date: 1-Dec-2023
    • (2022)Internet of Things Technologies for Smart GridResearch Anthology on Smart Grid and Microgrid Development10.4018/978-1-6684-3666-0.ch035(805-832)Online publication date: 2022
    • (2022)A Survey on UAV Computing Platforms: A Hardware Reliability PerspectiveSensors10.3390/s2216628622:16(6286)Online publication date: 21-Aug-2022
    • (2022)Application of Embedded Intelligent Target Detection System in Basketball Movement TestWireless Communications & Mobile Computing10.1155/2022/54847062022Online publication date: 1-Jan-2022
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media