Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3649476.3658756acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article
Open access

An Electromigration-Aware Wire Sizing Methodology via Particle Swarm Optimization

Published: 12 June 2024 Publication History

Abstract

As semiconductor manufacturing technologies progress beyond the current 3nm, the demand for more compact and powerful VLSI circuits obliges on-chip power grid networks to become denser, resulting in a substantial increase in current densities. Consequently, Electromigration (EM) has emerged as a critical reliability concern since it can lead to voids on the metal wires and, consequently, large IR drops. In this paper, we present an EM/IR-aware wire sizing methodology based on the Particle Swarm Optimization (PSO) algorithm. Our methodology can be effectively applied to contemporary power grid networks to achieve the targeted lifetimes of the chip, and simultaneously resize the wires for area reduction. The advantage is that the proposed approach is able to deal with high-dimensional search spaces, which is imperative in our problem. Experimental results using the large-scale industrial IBM power grid benchmarks indicate that our new approach can increase the lifespan of the power grid up to 6.47 × while effectively reducing the area up to 65%.

References

[1]
O. Axelou, N. Evmorfopoulos, G. Floros, G. Stamoulis, and S. S. Sapatnekar. 2022. A Novel Semi-Analytical Approach for Fast Electromigration Stress Analysis in Multi-Segment Interconnects. In Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design(ICCAD ’22).
[2]
J.R. Black. 1969. Electromigration—A brief survey and some recent results. IEEE Transactions on Electron Devices 16, 4 (1969), 338–347.
[3]
I. A. Blech. 1976. Electromigration in thin aluminum films on titanium nitride. Journal of Applied Physics 47, 4 (1976), 1203–1208.
[4]
S. Chatterjee, V. Sukharev, and F. N. Najm. 2018. Power Grid Electromigration Checking Using Physics-Based Models. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37, 7 (2018), 1317–1330.
[5]
E. Chu, Y. Luo, and P. Gupta. 2020. Design Impacts of Back-End-of-Line Line Edge Roughness. IEEE Transactions on Semiconductor Manufacturing (2020).
[6]
C. Cook, Z. Sun, E. Demircan, M. D. Shroff, and S. X.-D. Tan. 2018. Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2018).
[7]
A. Engelbrecht. 2012. Particle swarm optimization: Velocity initialization. In 2012 IEEE Congress on Evolutionary Computation. 1–8.
[8]
S. P. Hau-Riege and C. V. Thompson. 2001. Experimental characterization and modeling of the reliability of interconnect trees. Journal of Applied Physics (2001).
[9]
X. Huang, T. Yu, V. Sukharev, and S. X.-D. Tan. 2014. Physics-based electromigration assessment for power grid networks. In 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). 1–6.
[10]
J. Kennedy and R. Eberhart. 1995. Particle swarm optimization. In Proceedings of ICNN’95 - International Conference on Neural Networks.
[11]
M. A. Korhonen, P. Bo/rgesen, K. N. Tu, and Che‐Yu Li. 1993. Stress evolution due to electromigration in confined metal lines. Journal of Applied Physics (1993).
[12]
J. Lienig and M. Thiele. 2018. Fundamentals of electromigration-aware integrated circuit design. Springer International Publishing.
[13]
J.R. Lloyd. 2008. New models for interconnect failure in advanced IC technology. In 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits. IEEE.
[14]
L. Milor and S. Ghosh. 2023. Calibration and efficient evaluation of electromigration lifetime for interconnect wire sizing of multi-port networks. Microelectronics Reliability (2023), 115163.
[15]
Z. Moudallal, V. Sukharev, and F. N. Najm. 2019. Power Grid Fixing for Electromigration-induced Voltage Failures. In 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1–8.
[16]
S. R. Nassif. 2008. Power Grid Analysis Benchmarks. In Proceedings of the 2008 Asia and South Pacific Design Automation Conference.
[17]
X.-D. Tan, C.-J.R. Shi, D. Lungeanu, J.-C. Lee, and L.-P. Yuan. 1999. Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings. In Proceedings 1999 Design Automation Conference.
[18]
J. Xie, V. N., and Y. Xie. 2012. Mitigating electromigration of power supply networks using bidirectional current stress. Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI (05 2012).
[19]
H. Zhou, Z. Sun, S. Sadiqbatcha, N. Chang, and S. X.-D. Tan. 2019. EM-Aware and Lifetime-Constrained Optimization for Multisegment Power Grid Networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2019).

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
GLSVLSI '24: Proceedings of the Great Lakes Symposium on VLSI 2024
June 2024
797 pages
ISBN:9798400706059
DOI:10.1145/3649476
This work is licensed under a Creative Commons Attribution International 4.0 License.

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 12 June 2024

Check for updates

Author Tags

  1. EM/IR
  2. Electromigration (EM)
  3. Particle Swarm Optimization (PSO)
  4. Power Grid Optimization
  5. Wire Sizing

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Funding Sources

Conference

GLSVLSI '24
Sponsor:
GLSVLSI '24: Great Lakes Symposium on VLSI 2024
June 12 - 14, 2024
FL, Clearwater, USA

Acceptance Rates

Overall Acceptance Rate 312 of 1,156 submissions, 27%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 179
    Total Downloads
  • Downloads (Last 12 months)179
  • Downloads (Last 6 weeks)26
Reflects downloads up to 12 Jan 2025

Other Metrics

Citations

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media