Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Design and architecture for an embedded 32-bit QueueCore

Published: 01 April 2006 Publication History
  • Get Citation Alerts
  • Abstract

    Queue based instruction set architecture processor offers an attractive option in the design of embedded systems by providing high performance for a specific application. This work describes the design results and methodology of a queue processor core, named QueueCore, as a starting point for application-specific processor (ASP) design. By using simple and common base queue instruction set, the design space exploration is focused on the application-specific aspects of performance.
    In any new architecture, verification, which usually requires complicated and lengthy software simulation of an emulated model, is an important aspect. We show how cooperative hardware emulation, based on programmable logic, can be integrated into a co-design flow to evaluate the performance of the novel QueueCore processor and to verify the functional correctness of a specific benchmark on the system core.
    To avoid duplication of the design effort, different target implementations are derived from a common source. We present the evaluation results of the QueueCore for three different platforms.

    References

    [1]
    G. De Micheli, R. Ernst and W. Wolf, Readings in Hard-ware/Software co-design , Morka Kaufmann Publishers, ISBN 1-55860-702-1.
    [2]
    M. Sowa, B.A. Abderazek and T. Yoshinaga, Parallel Queue Processor Architecture Based on Produced Order Computation Model, Int. Journal of Supercomputing, HPC 32 (3) (June 2005), 217-229.
    [3]
    V. Gutnik and A.P. Chandrakasan, Embedded Power Supply for Low-power DSP, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 5 (4) (Dec. 1997), 425-435.
    [4]
    B.A. Abderazek, M. Arsenji, S. Shigeta, T. Yoshinaga and M. Sowa, Queue Processor for Novel Queue Computing Paradigm Based on Produced Order Scheme, Proc. of HPC, IEEE CS, 0-7695-2138-X/04 (Jul. 2004), 169-177.
    [5]
    S. Aditya, B.R. Rau and V. Kathail, Automatic Architectural Synthesis of VLIW and EPIC Processors , Proc. 12th Int. Symposium of System Synthesis, IEEE CS Press, Los Alamitos, Calif., 1999, 107-113.
    [6]
    R. Bruno and V. Carla, Data Flow on Queue Machines , 12th International IEEE Symposium on Computer Architecture, 1985, 342-351.
    [7]
    M. Sheliga and E.H. Sha, Hardware/Software Co-design With the HMS Framework, Journal of VLSI Signal Processing Systems 13 (1) (1996), 37-56.
    [8]
    C. Chantrapornchai, M. Sha and X. Sharon Hu, Efficient Acceptable Design Exploration Based on Module Utility Selection, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems 19 (1) (2000), 19-29.
    [9]
    S. Chaudhuiri, S.A. Btlythe and R.A. Walker, A solution methodology for exact design space exploration in a three dimensional design space, IEEE Transactions on VLSI Systems 5 (1997), 69-81.
    [10]
    D. Lewis, V. Betz, D. Jefferson, C. Lane, P. Leventis, S. Marquardt, C. McClintock, B. Pedersen, G. Powell, S. Reddy, C. Wysocki, R. Cliff and J. Rose, he Stratix Routing and Logic Architecture , Proceedings of the international symposium on Field programmable gate arrays, Monterey, USA, 2004.
    [11]
    Y.S. Chang, S. Lee, I. Park and C. Kyung, Verification of a Microprocessor Using Real World Applications , Proceedings of the 36th ACM/IEEE conference on Design automation, New Orleans, USA, 1999, 181-184.
    [12]
    R. Lysecky and F. Vahid, A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning, Design Automation and Test in Europe (DATE'05), Munich, Germany 1 (March 2005), 18- 23.
    [13]
    J.R. Story, H.J. Harrison and E.A. Reinhard, Optimum State Assignment for Synchronous Sequential Circuits, IEEE Transactions on Computers C-21 (12) (Dec. 1972), 1365-1373.
    [14]
    D. Lewis et al., The Stratix Logic and Routing Architecture , Proc FPGA-02, 2002, 12-20.
    [15]
    Cadence Design Systems: http://www.cadence.com/.
    [16]
    Altera Design Software: http://www.altera.com/.
    [17]
    A.E.A. Almaini, J.F. Miller, P. Thomson and S. Billina, State Assignment of Finite State Machines using a Genetic Algorithm, IEE Proc. on Computers and Digital Techniques (1995), 279-286.
    [18]
    A. Sharma and R. Jain, Estimating Architectural Resources and Performance for High-Level Synthesis Applications , Proceedings of the 30th international conference on Design automation, 1993, 355-360.
    [19]
    K. Kim, H.Y. Kim and T.G. Kim, Top-down Retargetable Framework with Token-level Design for Accelerating Simulation Time of Processor Architecture, IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences E86 - A (12) (Dec. 2003), 3089-3098.
    [20]
    A. Gayasen, N. Vijaykrishnan and M.J. Irwin, Exploring Technology Alternatives for Nano-Scale FPGA Interconnects , DAC 2005, California, June 2005, 921-926.
    [21]
    H. Maejima, M. Kinaga and K. Uchiyama, Design and architecture for Low Power/High Speed RISC Microprocesor: SuperH, IEICE Transaction on Electronics E80 (12) (Dec. 1997), 1539-1549.
    [22]
    H. Takahashi, S. Abiko and S. Mizushima, A 100 MIPS High Speed and Low Power Digital Signal Processor, E80-C(12) (1997), 1546-1552.
    [23]
    H. Suzuki, O. Shusuke, A. Maeda and M. Sowa, Implementation and Evaluation of a Superscalar Processor Based on Queue Machine Computation Model , IPSJ SIG, 1999, 91-96.

    Cited By

    View all
    • (2011)Natural instruction level parallelism-aware compiler for high-performance QueueCore processor architectureThe Journal of Supercomputing10.1007/s11227-010-0409-z57:3(314-338)Online publication date: 1-Sep-2011
    • (2010)Compiling for Reduced Bit-Width Queue ProcessorsJournal of Signal Processing Systems10.1007/s11265-008-0286-359:1(45-55)Online publication date: 1-Apr-2010
    • (2007)An efficient code generation algorithm for code size reduction using 1-offset P-code queue computation modelProceedings of the 2007 international conference on Embedded and ubiquitous computing10.5555/1780745.1780768(196-208)Online publication date: 17-Dec-2007
    • Show More Cited By

    Index Terms

    1. Design and architecture for an embedded 32-bit QueueCore
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image Journal of Embedded Computing
        Journal of Embedded Computing  Volume 2, Issue 2
        Issues in embedded single-chip multicore architectures
        April 2006
        126 pages

        Publisher

        IOS Press

        Netherlands

        Publication History

        Published: 01 April 2006

        Author Tags

        1. Queue computing
        2. QueueCore
        3. design
        4. modular design
        5. simple

        Qualifiers

        • Article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 30 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2011)Natural instruction level parallelism-aware compiler for high-performance QueueCore processor architectureThe Journal of Supercomputing10.1007/s11227-010-0409-z57:3(314-338)Online publication date: 1-Sep-2011
        • (2010)Compiling for Reduced Bit-Width Queue ProcessorsJournal of Signal Processing Systems10.1007/s11265-008-0286-359:1(45-55)Online publication date: 1-Apr-2010
        • (2007)An efficient code generation algorithm for code size reduction using 1-offset P-code queue computation modelProceedings of the 2007 international conference on Embedded and ubiquitous computing10.5555/1780745.1780768(196-208)Online publication date: 17-Dec-2007
        • (2007)An Efficient Code Generation Algorithm for Code Size Reduction Using 1-Offset P-Code Queue Computation ModelEmbedded and Ubiquitous Computing10.1007/978-3-540-77092-3_18(196-208)Online publication date: 17-Dec-2007

        View Options

        View options

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media