Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Parallel Queue Processor Architecture Based on Produced Order Computation Model

Published: 01 June 2005 Publication History
  • Get Citation Alerts
  • Abstract

    This paper proposes novel produced order parallel queue processor architecture. To store intermediate results, the proposed system uses a first-in-first-out (FIFO) circular queue-registers instead of random access registers. Datum is inserted in the queue-registers in produced order scheme and can be reused. We show that this feature has profound implications in the areas of parallel execution, programs compactness, hardware simplicity and high execution speed.
    Our performance evaluations show a significant performance improvement (e.g., 10 to 26% decrease in program size and 6 to 46% decrease in execution time over a range of benchmark programs) when compared with the earlier proposed architecture.

    References

    [1]
    1. B. A. Abderazek, M. Arsenji, K. Kiuchi, M. Akanda, S. Shigeta, T. Yoshinaga, and M. Sowa. PQPpfB: Parallel queue processor architecture in verilog-HDL. IPSJ, 66th Conference . 2004.
    [2]
    2. B. A. Abderazek, N. Kirilka and M. Sowa. FARM-queue mode: On a practical queue execution model. In Proceedings of the Int. Conf. on Circuits and Systems, Computers and Communications , Tokushima, Japan, pp. 939-944, 2001.
    [3]
    3. S. Aditya, B. R. Rau, and V. Kathail, Automatic architectural synthesis of VLIW and EPIC processors. In Proc. 12th Int'l Symp. System Synthesis , IEEE CS Press, Los Alamitos, Calif., pp. 107-113, 1999.
    [4]
    4. R. Bruno and V. Carla. Data flow on queue machines, In 12th Int. IEEE Symposium on Computer Architecture . pp. 342-351, 1985.
    [5]
    5. R. P. Colwell, R. P. Nix, J. J. O'Donnell, D. P. Papworth and P. K. Rodman. A VLIW architecture for a trace scheduling compiler. IEEE Computer Transaction , 37(8):967-979, 1988.
    [6]
    6. T. Conte and S. Sathaye, Properties of rescheduling size invariance for dynamic rescheduling-based VLIW cross-generation compatibility. IEEE Computer Transaction, 49(8):814-825, 2002.
    [7]
    7. S. Okamoto, H. Suzuki, A. Maeda, and M. Sowa, Design of a Superscalar Processor Based on Queue Machine Computation Model: IEEE PACRIM, pp. 22-24, 1999.
    [8]
    8. Y. Okumura, T. Yoshinaga and M. Sowa, Parallel C compiler for queue machines. In IPSJ Symposium for Computer Architecture , 2(81):127-132, 2002.
    [9]
    9. S. Palacharia, N. P. Joupi, and J. E. Smith. Complexity-effective super-scalar processor, Ph.D. dissertation, Univ. of Wisconsin, 1998.
    [10]
    10. R. Radhakrishnan, D. Talla, and L. K. John. Allowing for ILP in an embedded Java processor. In Proceedings of IEEE/ACM International Symposium on Computer Architecture , Vancouver, CA, pp. 294-305, 2000.
    [11]
    11. M. S. Schlansker and B. Ramakrishna Rau, EPIC: Explicitly paralle instruction computing. IEEE computer , 33(2):37-45, 2000.
    [12]
    12. M. Sowa, B. A. Abderazek, S. Shigets, K. Nilkolova, and T. Yoshinaga. Proposal and design of a parallel queue processor architecture (PQP). In 14th IASTED Int. Conf. on Parallel and Distributed Computing and System , Cambridge, USA, pp. 554-560, 2002.
    [13]
    13. H. Suzuki, O. Shusuke, A. Maeda, and M. Sowa, Implementation and evaluation of a superscalar processor based on queue machine computation model. IPSJ SIG , 99(21):91-96, 1999.

    Cited By

    View all
    • (2010)Compiling for Reduced Bit-Width Queue ProcessorsJournal of Signal Processing Systems10.1007/s11265-008-0286-359:1(45-55)Online publication date: 1-Apr-2010
    • (2009)Using NoC routers as processing elementsProceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes10.1145/1601896.1601927(1-6)Online publication date: 31-Aug-2009
    • (2009)Compiler Support for Code Size Reduction Using a Queue-Based ProcessorTransactions on High-Performance Embedded Architectures and Compilers II10.1007/978-3-642-00904-4_14(269-285)Online publication date: 22-Apr-2009
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image The Journal of Supercomputing
    The Journal of Supercomputing  Volume 32, Issue 3
    June 2005
    88 pages

    Publisher

    Kluwer Academic Publishers

    United States

    Publication History

    Published: 01 June 2005

    Author Tags

    1. circular queue-registers
    2. design
    3. high performance
    4. produced order
    5. queue processor

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 30 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2010)Compiling for Reduced Bit-Width Queue ProcessorsJournal of Signal Processing Systems10.1007/s11265-008-0286-359:1(45-55)Online publication date: 1-Apr-2010
    • (2009)Using NoC routers as processing elementsProceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes10.1145/1601896.1601927(1-6)Online publication date: 31-Aug-2009
    • (2009)Compiler Support for Code Size Reduction Using a Queue-Based ProcessorTransactions on High-Performance Embedded Architectures and Compilers II10.1007/978-3-642-00904-4_14(269-285)Online publication date: 22-Apr-2009
    • (2008)Dual-execution mode processor architectureThe Journal of Supercomputing10.1007/s11227-007-0151-344:2(103-125)Online publication date: 1-May-2008
    • (2007)An efficient code generation algorithm for code size reduction using 1-offset P-code queue computation modelProceedings of the 2007 international conference on Embedded and ubiquitous computing10.5555/1780745.1780768(196-208)Online publication date: 17-Dec-2007
    • (2006)Design and architecture for an embedded 32-bit QueueCoreJournal of Embedded Computing10.5555/1370998.13710052:2(191-205)Online publication date: 1-Apr-2006
    • (2006)High-Level Modeling and FPGA Prototyping of Produced Order Parallel Queue Processor CoreThe Journal of Supercomputing10.1007/s11227-006-6719-538:1(3-15)Online publication date: 1-Oct-2006
    • (2005)Modular design structure and high-level prototyping for novel embedded processor coreProceedings of the 2005 international conference on Embedded and Ubiquitous Computing10.1007/11596356_36(340-349)Online publication date: 6-Dec-2005

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media