Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1397757.1397983guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article
Free access

Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks

Published: 07 April 2008 Publication History

Abstract

In this paper, we introduce the use of slow-silent virtual channels to reduce the switching power of on-chip networks while keeping the leakage power small. Adding virtual channels to a network improves the throughput until each link bandwidth is saturated. This enables us to reduce the switching power of on-chip networks by decreasing their operating frequency and supply voltage. However, adding virtual channels increases the leakage power of routers as well as the area due to their large buffers; so the runtime power gating is applied to individual virtual channels to eliminate this problem. We evaluate the performance of slow-silent virtual channels by using real application traces, and their power consumption (switching and leakage) is evaluated based on the detailed design of a virtual-channel router placed and routed with a 90nm technology. These evaluation results show that a network with three or four virtual channels achieves the best energy efficiency in a uniform traffic. In the cases of neighboring communications, a network with two virtual channels is better than the other networks with more virtual channels, because the performance improvement from no virtual channel to two virtual channels is the largest and their frequency and supply voltage can also be reduced well in these cases.

References

[1]
D. Bailey, T. Harris, W. Saphir, R. Wijngaart, A. Woo, and M. Yarrow. The NAS Parallel Benchmarks 2.0. NAS Technical Report, NAS-95-020, Dec. 1995.
[2]
A. Banerjee, R. Mullins, and S. Moore. A Power and Energy Exploration of Network-on-Chip Architectures. Proceedings of the International Symposium on Networks-on-Chip, pages 163-172, May 2007.
[3]
L. Benini and G. D. Micheli. Networks on Chips: Technology And Tools. Morgan Kaufmann, 2006.
[4]
X. Chen and L.-S. Peh. Leakage Power Modeling and Optimization in Interconnection Networks. Proceedings of the International Symposium on Low Power Electronics and Design, pages 90-95, Aug. 2003.
[5]
W. J. Dally and B. Towles. Route Packets, Not Wires: On-Chip Interconnection Networks. Proceedings of the Design Automation Conference, pages 684-689, June 2001.
[6]
W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2004.
[7]
J. Duato. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Transactions on Parallel and Distributed Systems, 4(12):1320-1331, Dec. 1993.
[8]
S. Herbert and D. Marculescu. Analysis of Dynamic Voltage / Frequency Scaling in Chip-Multiprocessors. Proceedings of the International Symposium on Low Power Electronics and Design, pages 38-43, Aug. 2007.
[9]
R. Ho, K. W. Mai, and M. A. Horowitz. The Future of Wires. Proceedings of the IEEE, 89(4):490-504, Apr. 2001.
[10]
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose. Microarchitectural Techniques for Power Gating of Execution Units. Proceedings of the International Symposium on Low Power Electronics and Design, pages 32-37, Aug. 2004.
[11]
M. Ishikawa et al. A 4500 MIPS/W, 86µA Resume-Standby, 11µA Ultra-Standby Application Processor for 3G Cellular Phones. IEICE Transactions on Electronics, E88-C(4):528-535, Apr. 2005.
[12]
K. Kawakami, J. Takemura, M. Kuroda, H. Kawaguchi, and M. Yoshimoto. A 50% Power Reduction in H.264/AVC HDTV Video Decoder LSI by Dynamic Voltage Scaling in Elastic Pipeline. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, (12):3642-3651, Dec. 2006.
[13]
H. Matsutani, M. Koibuchi, and H. Amano. Performance, Cost, and Energy Evaluation of Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network. Proceedings of the International Parallel and Distributed Processing Symposium, Mar. 2007.
[14]
H. Matsutani, M. Koibuchi, D. Wang, and H. Amano. Run-Time Power Gating of On-Chip Routers Using Look-Ahead Routing. Proceedings of the Asia and South Pacific Design Automation Conference, Jan. 2008. (to appear).
[15]
R. Mullins, A. West, and S. Moore. Low-Latency Virtual-Channel Routers for On-Chip Networks. Proceedings of the International Symposium on Computer Architecture, pages 188-197, June 2004.
[16]
M. Nakai et al. Dynamic Voltage and Frequency Management for a Low-Power Embedded Microprocessor. IEEE Journal of Solid-State Circuits, 40(1):28-35, Jan. 2005.
[17]
K. Nowka et al. A 0.9V to 1.95V Dynamic Voltage-Scalable and Frequency-Scalable 32b PowerPC Processor. Proceedings of the International Solid-State Circuits Conference, pages 340-341, Feb. 2002.
[18]
T. Sakurai and A. R. Newton. Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas. IEEE Journal of Solid-State Circuits, 25(2):584-594, Apr. 1990.
[19]
L. Shang, L.-S. Peh, and N. K. Jha. Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks. Proceedings of the International Symposium on High-Performance Computer Architecture, pages 79-90, Jan. 2003.
[20]
V. Soteriou and L.-S. Peh. Exploring the Design Space of Self-Regulating Power-Aware On/Off Interconnection Networks. IEEE Transactions on Parallel and Distributed Systems, 18(3):393-408, Mar. 2007.
[21]
J. M. Stine and N. P. Carter. Comparing Adaptive Routing and Dynamic Voltage Scaling for Link Power Reduction. IEEE Computer Architecture Letters, 3(1):14-17, Jan. 2004.
[22]
K. Usami and N. Ohkubo. A Design Approach for Fine-grained Run-Time Power Gating using Locally Extracted Sleep Signals. Proceedings of the International Conference on Computer Design, Oct. 2006.
[23]
S. Vangal et al. An 80-Tile 1.28 TFLOPS Network-on-Chip in 65nm CMOS. Proceedings of the International Solid-State Circuits Conference, Feb. 2007.

Cited By

View all
  • (2019)BARANACM Transactions on Parallel Computing10.1145/32940495:3(1-29)Online publication date: 22-Jan-2019
  • (2019)ITAPACM Transactions on Architecture and Code Optimization10.1145/329160616:1(1-26)Online publication date: 27-Feb-2019
  • (2017)BiNoCHSProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3130222(1-8)Online publication date: 19-Oct-2017
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide Proceedings
NOCS '08: Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
April 2008
213 pages
ISBN:9780769530987

Publisher

IEEE Computer Society

United States

Publication History

Published: 07 April 2008

Author Tags

  1. DVFS
  2. Network-on-Chip
  3. NoC
  4. low power
  5. power gating
  6. virtual channels

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)41
  • Downloads (Last 6 weeks)5
Reflects downloads up to 23 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2019)BARANACM Transactions on Parallel Computing10.1145/32940495:3(1-29)Online publication date: 22-Jan-2019
  • (2019)ITAPACM Transactions on Architecture and Code Optimization10.1145/329160616:1(1-26)Online publication date: 27-Feb-2019
  • (2017)BiNoCHSProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3130222(1-8)Online publication date: 19-Oct-2017
  • (2015)A Systematic Evaluation of Emerging Mesh-like CMP NoCsProceedings of the Eleventh ACM/IEEE Symposium on Architectures for networking and communications systems10.5555/2772722.2772744(159-170)Online publication date: 7-May-2015
  • (2015)An energy-efficient virtual channel power-gating mechanism for on-chip networksProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2757164(1527-1532)Online publication date: 9-Mar-2015
  • (2014)Energy and throughput aware fuzzy logic based reconfiguration for MPSoCsJournal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology10.5555/2596321.259633126:1(101-113)Online publication date: 1-Jan-2014
  • (2014)Power-Aware NoCs through Routing and Topology ReconfigurationProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593187(1-6)Online publication date: 1-Jun-2014
  • (2012)NoRDProceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2012.33(270-281)Online publication date: 1-Dec-2012
  • (2011)On the use of multiplanes on a 2D mesh network-on-chipProceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part II10.5555/2075462.2075492(276-286)Online publication date: 24-Oct-2011
  • (2011)HPC-MeshProceedings of the 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems10.1109/ANCS.2011.17(69-80)Online publication date: 3-Oct-2011
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media