Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Energy and throughput aware fuzzy logic based reconfiguration for MPSoCs

Published: 01 January 2014 Publication History

Abstract

Multicore architectures offer an amount of parallelism that is often underutilized, as a result these underutilized resources become a liability instead of advantage. Inefficient resource sharing on the chip can have a negative impact on the performance of an application and may result in greater energy consumption. A large body of research now focuses on reconfigurable multicore architectures in order to support algorithms to find optimal solutions for improved energy and throughput balance. An ideal system would be able to optimize such reconfigurable systems to a level that optimum resources are allocated to a particular workload and all the other underutilized resources remain inactive for greater energy savings. This paper presents a fuzzy logic based reconfiguration engine targeted to optimize a multicore architecture according to the workload requirements for optimum balance between power and performance of the system. The proposed fuzzy logic reconfiguration engine is designed around a 16-core SCMP architecture comprising of reconfigurable cache memories, power gated cores and adaptive on-chip network routers for minimizing leakage energy effects for inactive components. A coarse grained architecture was selected for being able to reconfigure faster, thus making it feasible to be used for runtime adaptation schemes. The presented architecture is analyzed over a set of OpenMP based parallel benchmarks and results show significant energy savings in all cases.

References

[1]
N. Agarwal, T. Krishna, L.S. Peh and N. Jha, Garnet: A detailed on-chip network model inside a full-system simulator, In: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009. Boston, Massachusetts: Citeseer, ISPASS 2009 (2009), 33-42.
[2]
J.H. Ahn, J. Leverich, R. Schreiber and N.P. Jouppi, Multicore dimm: An energy efficient memory module with independently controlled drams, IEEE Comput Archit Lett 8 (2009), 5-8.
[3]
M.H. Bahari, A. Karsaz and N. Pariz, High maneuvering target tracking using a novel hybrid kalman filter-fuzzy logic architecture, International Journal of Innovative Computing, Information and Control (ICIC) 7(2) (2011), 501-510.
[4]
F. Bower, D. Sorin and L. Cox, The impact of dynamically heterogeneous multicore processors on thread scheduling, Micro, IEEE 28(3) (2008), 17-25.
[5]
T. Chen, A hybrid fuzzy and neural approach with virtual experts and partial consensus for dram price forecasting, International Journal of Innovative Computing, Information and Control (ICIC) 8(1 B) (2012), 583-597.
[6]
K. Compton and S. Hauck, Reconfigurable computing: A survey of systems and software, ACM Computing Surveys (csuR) 34(2) (2002), 171-210.
[7]
L. Dagum and R. Menon, Openmp: An industry standard api for shared-memory programming, Computational Science & Engineering, IEEE 5(1) (1998), 46-55.
[8]
K. Danne, R. Miihlenbernd and M. Platzner, Executing hardware tasks on dynamically reconfigurable devices under real-time conditions, In: Field Programmable Logic and Applications, 2006. FPL '06. International Conference on, IEEE, Madrid, Spain: IEEE (2006), 1-6.
[9]
K. Danne and M. Platzner, A heuristic approach to schedule periodic real-time tasks on reconfigurable hardware, in: Field Programmable Logic and Applications, 2005. International Conference on, IEEE, Tampere, Finland: IEEE (2005), 568-573.
[10]
K. Danne and M. Platzner, An edf schedulability test for periodic tasks on reconfigurable hardware devices, in: Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems, New York, NY, USA: ACM, LCTES '06 (2006), 93-102.
[11]
C. De Silva, Intelligent control: Fuzzy logic applications, USA: CRC Press, 1995.
[12]
M. DeVuyst, R. Kumar and D.M. Tullsen, Exploiting unbalanced thread scheduling for energy and performance on a cmp of smt processors, in: Proceedings of the 20th international conference on Parallel and distributed processing, Washington, DC, USA: IEEE Computer Society, IPDPS '06 (2006), 140-140.
[13]
I.J. Ding, Enhancements of maximum likelihood eigendecomposition using fuzzy logic control for eigenvoice-based speaker adaptation, International Journal of Innovative Computing, Information and Control (ICIC) 7(7 (B)) (2011), 4207-4222.
[14]
K.Z. Ghafoor, K.A. Bakar, S. Salleh, K.C. Lee, M.M. Mohamad, M. Kamat and M.M. Arshad, Fuzzy logic-assisted geographical routing over vehicular ad hoc networks, International Journal of Innovative Computing, Information and Control (ICIC) 8(7 (B)) (2012), 5095-5120.
[15]
L. Guang, E. Nigussie and H. Tenhunen, System-level exploration of run-time clusterization for energy-efficient on-chip communication, in: Proceedings of the 2nd International Workshop on Network on Chip Architectures, New York, NY, USA: ACM, NoCArc '09 (2009), 63-68.
[16]
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson and P. Bose, Microarchitectural techniques for power gating of execution units, in: Proceedings of the 2004 international symposium on Low power electronics and design, New York, NY, USA: ACM, ISLPED '04 (2004), 32-37.
[17]
C.J. Huang, K.W. Hu, H.M. Chen, T.K. Chang, Y.C. Luo and Y.J. Lien, Application of type-2 fuzzy logic to rule-based intrusion alert correlation detection, International Journal of Innovative Computing, Information and Control (ICIC) 8(4) (2012), 2865-2874.
[18]
A. Ibrahim, Fuzzy Logic for Embedded Systems Applications, Newton, MA, USA: Butterworth-Heinemann, 2003.
[19]
IEC, International Standard: Programmable controllers - Part 7: Fuzzy control programming, International Electrotechnical Commission, Geneva, Switzerland, iEC Standard, 2000.
[20]
Intel, Embedded Ultra-Low Power Intel486 GX Processor Datasheet, Intel Corporation, USA, 1997.
[21]
Intel, Intel Concurrency Checker, Intel Corporation, USA, 2008.
[22]
Y. Jiang, X. Shen, J. Chen and R. Tripathi, Analysis and approximation of optimal co-scheduling on chip multiprocessors, in: Proceedings of the 17th international conference on Parallel architectures and compilation techniques, New York, NY, USA: ACM, PACT '08 (2008), 220-229.
[23]
H. Jin, M. Frumkin, and J. Yan, The OpenMP implementation of NAS parallel benchmarks and its performance, 1999.
[24]
A.B. Kahng, B. Li, L.S. Peh and K. Samadi, Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration, in: Proceedings of the Conference on Design, Automation and Test in Europe, 3001 Leuven, Belgium, Belgium: European Design and Automation Association, DATE '09 (2009), 423-428.
[25]
H. Kalte and M. Porrmann, Replica2pro: Task relocation by bitstream manipulation in virtex-ii/pro fpgas, In: Proceedings of the 3rd conference on Computing frontiers, New York, NY, USA: ACM, CF '06 (2006), 403-412.
[26]
M. Khalid, R. Yusof and H. Mokayed, Fusion of multiclassifiers for online signature verification using fuzzy logic inference, International Journal of Innovative Computing, Information and Control (ICIC) 7(5 (B)) (2011), 2709-2726.
[27]
H.O. Kim, Y. Shin, H. Kim and I. Eo, Physical design methodology of power gating circuits for standard-cell-based design, in: Proceedings of the 43rd annual Design Automation Conference, New York, NY, USA: ACM, DAC '06 (2006), 109-112.
[28]
J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan and C.R. Das, A low latency router supporting adaptivity for on-chip interconnects, in: Proceedings of the 42nd annual Design Automation Conference, New York, NY, USA: ACM, DAC '05 (2005), 559-564.
[29]
K. Li, Performance analysis of power-aware task scheduling algorithms on multiprocessor computers with dynamic voltage and speed, IEEE Trans Parallel Distrib Syst 19 (2008), 1484-1497.
[30]
T. Li, D. Baumberger, D.A. Koufaty and S. Hahn, Efficient operating system scheduling for performance-asymmetric multi-core architectures, In: Proceedings of the 2007 ACM/IEEE conference on Supercomputing, New York, NY, USA: ACM, SC '07 (2007), 53:1-53:11.
[31]
Z. Ma, C. Wong, P. Yang, J. Vounckx and F. Catthoor, Mapping the mpeg-4 visual texture decoder: A system-level design technique based on heterogeneous platforms, Signal Processing Magazine, IEEE 22(3) (2005), 65-74.
[32]
P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, H. Gållberg, J. Högberg, F. Larsson, A. Moestedt and B. Werner, Simics: A full system simulation platform, COMPUTER 35(2) (2002), 50-58.
[33]
G.M. Marakas, Modern Data Warehousing, Mining, and Visualization: Core Concepts, Pearson Education, 2002.
[34]
T. Marescaux, A. Bartic, D. Verkest, S. Vernalde and R. Lauwereins, Interconnection networks enable fine-grain dynamic multi-tasking on fpgas, Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream 2438/2002 (2002), 741-763.
[35]
G. Marsaglia and T. Bray, A convenient method for generating normal variables, Siam Review 6(3) (1964), 260-264.
[36]
H. Matsutani, M. Koibuchi, D. Wang and H. Amano, Adding slow-silent virtual channels for low-power on-chip networks, in: Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip, Washington, DC, USA: IEEE Computer Society, NOCS '08 (2008), 23-32.
[37]
M. Monchiero, R. Canal and A. González, Design space exploration for multicore architectures: A power/performance/ thermal view, In: Proceedings of the 20th annual international conference on Super-computing, New York, NY, USA: ACM, ICS '06 (2006), 177-186.
[38]
R. Mullins, A. West and S. Moore, Low-latency virtual-channel routers for on-chip networks, SIGARCH Comput Archit News 32 (2004), 188.
[39]
D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan and C.R. Das, Exploring fault-tolerant network-on-chip architectures, in: Proceedings of the International Conference on Dependable Systems and Networks, Washington, DC, USA: IEEE Computer Society (2006), 93-104.
[40]
R. Prakash and R. Anita, Modeling and simulation of fuzzy logic controller-based model reference adaptive controller, International Journal of Innovative Computing, Information and Control (ICIC) 8(4) (2012), 2533-2550.
[41]
A. Prayati, C. Wong, P. Marchal, F. Catthoor, H. de Man, N. Cossement, R. Lauwereins, D. Verkest, and A. Birbas, Task concurrency management experiment for power-efficient speed-up of embedded mpeg4 iml player, in: Proceedings of the 2000 International Workshop on Parallel Processing, Washington, DC, USA: IEEE Computer Society, ICPP '00, (2000), 453.
[42]
M. Qadri and D. Klaus, Data cache-energy and throughput models: Design exploration for embedded processors, EURASIP Journal on Embedded Systems, 2009 (2010).
[43]
M. Qadri and K. McDonald-Maier, Analytical evaluation of energy and throughput for multilevel caches, in: 2010 12th International Conference on Computer Modelling and Simulation, IEEE, Cambridge, UK: IEEE Computer Society, (2010a), 598-603.
[44]
M. Qadri and K. McDonald-Maier, A fuzzy logic based dynamic reconfiguration scheme for optimal energy and throughput in symmetric chip multiprocessors, in: Adaptive Hardware and Systems (AHS), 2010 NASA/ESA Conference on, IEEE, Anaheim California, USA: IEEE, (2010b), 333-339.
[45]
M. Qadri and K. McDonald-Maier, A fuzzy logic reconfiguration engine for symmetric chip multiprocessors, in: 2010 International Conference on Complex, Intelligent and Software Intensive Systems, IEEE, Krakow, Poland: IEEE Computer Society Washington, (2010c), 937-943.
[46]
S. Rabin, AI game programming wisdom, Hingham, Massachusetts: Charles River Media, Inc, 2002.
[47]
J. Resano, D. Mozos, D. Verkest and F. Catthoor, A reconfigurable manager for dynamically reconfigurable hardware, Design & Test of Computers, IEEE 22(5) (2005), 452-460.
[48]
P. Saha and T. El-Ghazawi, Extending embedded computing scheduling algorithms for reconfigurable computing systems, in: Programmable Logic, 2007. SPL '07. 2007 3rd Southern Conference on, IEEE, Mar del Plata, Argentina: IEEE, (2007a), 87-92.
[49]
P. Saha and T. El-Ghazawi, Software/hardware co-scheduling for reconfigurable computing systems, in: Field-Programmable Custom Computing Machines, 2007. FCCM 2007. 15th Annual IEEE Symposium on, IEEE, Napa Valley, California: IEEE, (2007b), 299-300.
[50]
W. Saphir, R. Van der Wijngaart, A. Woo and M. Yarrow, New implementations and results for the nas parallel benchmarks 2, in: In 8th SIAM Conference on Parallel Processing for Scientific Computing, Citeseer, 1997.
[51]
L. Shang, L.S. Peh and N.K. Jha, Dynamic voltage scaling with links for power optimization of interconnection networks, in: Proceedings of the 9th International Symposium on High-Performance Computer Architecture, Washington, DC, USA: IEEE Computer Society, HPCA '03, (2003), 91.
[52]
X. Su, P. Shi, L. Wu, and Y. Song, A novel approach to filter design for ts fuzzy discrete-time systems with time-varying delay, (99), (2012a), 1.
[53]
X. Su, L. Wu, P. Shi and Y.D. Song, H8 model reduction of takagisugeno fuzzy stochastic systems, IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics, PP (99), (2012b), 1-12.
[54]
D. Tarjan, S. Thoziyoor and N. Jouppi, Cacti 4.0, HP Laboratories Palo Alto, Tech. Rep. HPL-2006-86, (2006), 1.
[55]
S. Thoziyoor, J.H. Ahn, M. Monchiero, J.B. Brockman and N.P. Jouppi, A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies, in: Proceedings of the 35th Annual International Symposium on Computer Architecture, Washington, DC, USA: IEEE Computer Society, ISCA '08 (2008), 51-62.
[56]
H.S. Wang, X. Zhu, L.S. Peh and S. Malik, Orion: A power-performance simulator for interconnection networks, In: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, Los Alamitos, CA, USA: IEEE Computer Society Press, MICRO 35 (2002), 294-305.
[57]
L. Wu, X. Su, P. Shi and J. Qiu, Model approximation for discrete-time state-delay systems in the t-s fuzzy framework, IEEE Transactions on Fuzzy Systems 19(2) (2011a), 366-378.
[58]
L. Wu, X. Su, P. Shi and J. Qiu, A new approach to stability analysis and stabilization of discrete-time ts fuzzy time-varying delay systems, IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics 41(1) (2011b), 273-286.
[59]
P. Yang, C. Wong, P. Marchal, F. Catthoor, D. Desmet, D. Verkest and R. Lauwereins, Energy-aware runtime scheduling for embedded-multiprocessor socs, Design & Test of Computers, IEEE 18(5) (2001), 46-58.
[60]
S.H. Yang, W.J. Wang, C.Y. Chen, C.H. Hsu and P.H. Chou, The impedance based fuzzy logic control for the cathode air flow of a direct methanol fuel cell system, International Journal of Innovative Computing, Information and Control (ICIC) 7(2) (2011), 625-635.
[61]
A.M. Zalzala and P.J. Fleming, eds., Genetic Algorithms in Engineering Systems, Stevenage, UK, UK: Institution of Electrical Engineers, 1997.
[62]
X. Zhang, Z. Zhang and G. Lu, Fault detection for state-delay fuzzy systems subject to random communication delay, International Journal of Innovative Computing, Information and Control (ICIC) 8(4) (2012), 2439-2451.

Cited By

View all
  • (2016)Fuzzy logic based energy and throughput aware design space exploration for MPSoCsMicroprocessors & Microsystems10.1016/j.micpro.2015.08.00140:C(113-123)Online publication date: 1-Feb-2016
  1. Energy and throughput aware fuzzy logic based reconfiguration for MPSoCs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
    Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology  Volume 26, Issue 1
    January 2014
    502 pages

    Publisher

    IOS Press

    Netherlands

    Publication History

    Published: 01 January 2014

    Author Tags

    1. Energy Efficiency
    2. Fuzzy Logic
    3. Multicore Processing
    4. Reconfiguration

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 23 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)Fuzzy logic based energy and throughput aware design space exploration for MPSoCsMicroprocessors & Microsystems10.1016/j.micpro.2015.08.00140:C(113-123)Online publication date: 1-Feb-2016

    View Options

    View options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media