Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
Functional Verification Coverage Measurement and AnalysisOctober 2007
Publisher:
  • Springer Publishing Company, Incorporated
ISBN:978-0-387-73992-2
Published:04 October 2007
Pages:
213
Skip Bibliometrics Section
Reflects downloads up to 06 Oct 2024Bibliometrics
Skip Abstract Section
Abstract

Functional Verification Coverage Measurement and Analysis addresses a means of quantitatively assessing functional verification progress. Without this process, design and verification engineers, and their management, are left guessing whether or not they have completed verifying the device they are designing. Using the techniques described in this book, they will learn how to build a toolset which allows them to know how close they are to functional closure. Functional Verification Coverage Measurement and Analysis is the first book to introduce a useful taxonomy for coverage metric classification. Using this taxonomy, the reader clearly understands the process of creating an effective coverage model. A must read! Harry Foster, Jasper Design Automation, Co-Author of Assertion-Based Design Andrew's book is the most thoughtful and comprehensive treatment of coverage I have seen. I recommend reading (and re-reading) this book to anybody who is really serious about functional verification. Yoav Hollander, CTO, Verisity Design In the last few years, coverage has become a must in hardware verification and in software testing. From having to push people to use coverage, the situation changed to great interest... Andrew's excellent and comprehensive book on coverage, the first of its kind, could not have come at a better time. Shmuel Ur, Research Scientist, IBM

Cited By

  1. ACM
    Wu N, Li Y, Yang H, Chen H, Dai S, Hao C, Yu C and Xie Y (2024). Survey of Machine Learning for Software-assisted Hardware Design Verification: Past, Present, and Prospect, ACM Transactions on Design Automation of Electronic Systems, 29:4, (1-42), Online publication date: 31-Jul-2024.
  2. Wan L, Huang Y, Li Y, Ye H, Wang J, Zhang X and Chen D Software/Hardware Co-Design for LLM and Its Application for Design Verification Proceedings of the 29th Asia and South Pacific Design Automation Conference, (435-441)
  3. Yan M, Chen J, Mao H, Jiang J, Hao J, Li X, Tian Z, Chen Z, Li D, Xian Z, Guo Y, Liu W, Wang B, Sun Y and Cui Y Achieving Last-Mile Functional Coverage in Testing Chip Design Software Implementations Proceedings of the 45th International Conference on Software Engineering: Software Engineering in Practice, (343-354)
  4. ACM
    Gal R, Haber E and Ziv A Using DNNs and Smart Sampling for Coverage Closure Acceleration Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, (15-20)
  5. ACM
    Gal R, Simchoni G and Ziv A Using Machine Learning Clustering To Find Large Coverage Holes Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, (139-144)
  6. Lai X, Balakrishnan A, Lange T, Jenihhin M, Ghasempouri T, Raik J and Alexandrescu D (2022). Understanding multidimensional verification, Microprocessors & Microsystems, 71:C, Online publication date: 1-Nov-2019.
  7. Laeufer K, Koenig J, Kim D, Bachrach J and Sen K RFUZZ: Coverage-Directed Fuzz Testing of RTL on FPGAs 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-8)
  8. ACM
    Jayaraman P and Parthasarathi R (2017). A Survey on Post-Silicon Functional Validation for Multicore Architectures, ACM Computing Surveys, 50:4, (1-30), Online publication date: 31-Jul-2018.
  9. ACM
    Gal R, Kermany E, Saleh B, Ziv A, Behm M and Hickerson B Template Aware Coverage Proceedings of the 54th Annual Design Automation Conference 2017, (1-6)
  10. ACM
    Yang S, Wille R and Drechsler R Determining Cases of Scenarios to Improve Coverage in Simulation-based Verification Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, (1-7)
  11. Mukherjee S and Dasgupta P (2013). A fuzzy real-time temporal logic, International Journal of Approximate Reasoning, 54:9, (1452-1470), Online publication date: 1-Nov-2013.
  12. ACM
    Di Guglielmo G and Pravadelli G A testbench specification language for SystemC verification Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (333-342)
  13. Hazra A, Ghosh P, Dasgupta P and Chakrabarti P (2012). Cohesive Coverage Management, Journal of Electronic Testing: Theory and Applications, 28:4, (449-468), Online publication date: 1-Aug-2012.
  14. Blackmore T, Halliwell D, Barker P, Eder K and Ramaram N Analysing and closing simulation coverage by automatic generation and verification of formal properties from coverage reports Proceedings of the 9th international conference on Integrated Formal Methods, (84-98)
  15. ACM
    Ioannides C and Eder K (2012). Coverage-Directed Test Generation Automated by Machine Learning -- A Review, ACM Transactions on Design Automation of Electronic Systems, 17:1, (1-21), Online publication date: 1-Jan-2012.
  16. Birnbaum A, Fournier L, Mittermaier S and Ziv A Reverse coverage analysis Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing, (190-202)
  17. ACM
    Fournier L, Ziv A, Kutsy E and Strichman O (2011). A probabilistic analysis of coverage methods, ACM Transactions on Design Automation of Electronic Systems, 16:4, (1-20), Online publication date: 1-Oct-2011.
  18. ACM
    Ioannides C, Barrett G and Eder K XCS cannot learn all boolean functions Proceedings of the 13th annual conference on Genetic and evolutionary computation, (1283-1290)
  19. ACM
    Adir A, Nahir A, Shurek G, Ziv A, Meissner C and Schumann J Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor Proceedings of the 48th Design Automation Conference, (569-574)
  20. Ioannides C, Barrett G and Eder K Feedback-based coverage directed test generation Proceedings of the 6th international conference on Hardware and software: verification and testing, (112-128)
  21. Adir A, Nahir A, Ziv A, Meissner C and Schumann J Reaching coverage closure in post-silicon validation Proceedings of the 6th international conference on Hardware and software: verification and testing, (60-75)
  22. Adler Y, Ur S and Blue D Evaluating workloads using multi-comparative functional coverage Proceedings of the 5th international Haifa verification conference on Hardware and software: verification and testing, (57-70)
  23. ACM
    Gandini S, Ravotto D, Ruzzarin W, Sanchez E, Squillero G and Tonda A Automatic detection of software defects Proceedings of the 11th Annual conference on Genetic and evolutionary computation, (1921-1922)
  24. ACM
    Mishra P and Dutt N (2008). Specification-driven directed test generation for validation of pipelined processors, ACM Transactions on Design Automation of Electronic Systems, 13:3, (1-36), Online publication date: 1-Jul-2008.
  25. Fine S and Mansour Y (2007). Active sampling for multiple output identification, Machine Language, 69:2-3, (213-228), Online publication date: 1-Dec-2007.
  26. Nir D, Tyszberowicz S and Yehudai A Locating regression bugs Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing, (218-234)
  27. Fournier L and Ziv A Using virtual coverage to hit hard-to-reach events Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing, (104-119)
  28. ACM
    Petlin O and Snyder W Functional verification of sicortex multiprocessor Proceedings of the 44th annual Design Automation Conference, (906-909)
  29. Feng Y, Zhou Z, Tong D and Cheng X Clock domain crossing fault model and coverage metric for validation of SoC design Proceedings of the conference on Design, automation and test in Europe, (1385-1390)
  30. Mathaikutty D, Shukla S, Kodakara S, Lilja D and Dingankar A Design fault directed test generation for microprocessor validation Proceedings of the conference on Design, automation and test in Europe, (761-766)
  31. ACM
    Vax M Conservative aspect-orientated programming with the e language Proceedings of the 6th international conference on Aspect-oriented software development, (149-160)
  32. ACM
    Gluska A Practical methods in coverage-oriented verification of the merom microprocessor Proceedings of the 43rd annual Design Automation Conference, (332-337)
  33. Mishra P and Dutt N Functional Coverage Driven Test Generation for Validation of Pipelined Processors Proceedings of the conference on Design, Automation and Test in Europe - Volume 2, (678-683)
Contributors
  • Cadence Design Systems

Recommendations