Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2016802.2016825acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

An energy-efficient adaptive hybrid cache

Published: 01 August 2011 Publication History

Abstract

By reconfiguring part of the cache as software-managed scratchpad memory (SPM), hybrid caches manage to handle both unknown and predictable memory access patterns. However, existing hybrid caches provide a flexible partitioning of cache and SPM without considering adaptation to the run-time cache behavior. Previous cache set balancing techniques are either energy-inefficient or require serial tag and data array access. In this paper an adaptive hybrid cache is proposed to dynamically remap SPM blocks from high-demand cache sets to low-demand cache sets. This achieves 19%, 25%, 18% and 18% energy-runtime-production reductions over four previous representative techniques on a wide range of benchmarks.

References

[1]
I. Issenin, E. Brockmeyer, M. Miranda, and N. Dutt, "DRDU: A data reuse analysis technique for efficient scratch-pad memory management," in ACM Trans. Des. Autom. Electron. Syst. vol. 12.2, p.15, 2007.
[2]
D. Chiou, P. Jain, L. Rudolph, and S. Devadas, "Application-specific memory management for embedded systems using software-controlled caches," in Proc. DAC, 2000, pp. 416--419.
[3]
C. Moritz, M. Frank, and S. Amarasinghe, "FlexCache: A framework for flexible compiler generated data caching," in Lecture Notes in Computer Science, vol. 2107, pp.135--146, 2001.
[4]
P. Ranganathan, S. Adve, and N. Jouppi, "Reconfigurable caches and their application to media processing," in Proc. ISCA, 2000, pp. 214--224.
[5]
H. Cook, K. Asanovic, and D. Patterson, "Virtual local stores: Enabling software-managed memory hierarchies in mainstream computing environments," Technical Report No. UCB/EECS-2009-131, 2009.
[6]
J. Robertson and K. Gala, "Instruction and data cache locking on the e300 processor core," Freescale Application Note, 2006.
[7]
T. Kluter, P. Brisk, P. Ienne, and E. Charbon, "Way stealing: Cache-assisted automatic instruction set extensions," in Proc. DAC, 2009, pp. 31--36.
[8]
M. Qureshi, D. Thompson, and Y. Patt, "The V-way cache: Demand based associativity via global replacement," in Proc. ISCA, 2004, pp. 544--555.
[9]
E. G. Hallnor and S. K. Reinhardt, "A fully associative software-managed cache design," in Proc. ISCA, 2000, pp. 107--116.
[10]
D. Rolán, B. Fraguela, and R. Doallo, "Adaptive line placement with the set balancing cache," in Proc. MICRO, 2009, pp. 529--540.
[11]
N. Jouppi, "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers," in Proc. ISCA, 1990, pp. 364--373.
[12]
C. Zhang, "Balanced cache: Reducing conflict misses of direct-mapped caches," in Proc. ISCA, 2006, pp. 155--166.
[13]
B. Agrawal and T. Sherwood. "Modeling TCAM power for next generation network devices," in Proc. ISPASS, 2006, pp.120--129.
[14]
M. Zhang and K. Asanovic, "Fine-grain CAM-tag cache resizing using miss tags," in Proc. ISLPED, 2002, pp.130--135.
[15]
A. Dominguez, S. Udayakumaran, and R. Barua, "Heap data allocation to scratch-pad memory in embedded systems," in J. Embedded Comput., vol. 1.4, pp. 521--540, 2005.
[16]
M. Verma, K. Petzold, L. Wehmeyer, H. Falk, and P. Marwedel, "Scratchpad sharing strategies for multiprocess embedded systems: A first approach," in Proc. ESTMEDIA, 2005, pp. 115--120.
[17]
J. Cong, H. Huang, C. Liu, and Y. Zou, "A reuse-aware prefetching algorithm for scratchpad memory," to appear in Proc. DAC, 2011.
[18]
LLVM compiler infrastructure: http://llvm.org/
[19]
T. Austin and G. Sohi, "Zero-cycle loads: microarchitecture support for reducing load latency," in Proc. MICRO, 1995, pp.82--92.
[20]
HP Cacti, http://quid.hpl.hp.com:9081/cacti/
[21]
C. Kun, S. Quan, and A. Mason, "A power-optimized 64-bit priority encoder utilizing parallel priority look-ahead," in Proc. ISCAS, 2004, pp. II 753--756.
[22]
P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, and B. Werner, "Simics: A full system simulation platform," in IEEE Computer, vol. 35, pp. 50--58, 2002.
[23]
M. Martin, D. Sorin, B. Beckmann, M. Marty, M. Xu, A. Alameldeen, K. Moore, M. Hill, and D. Wood, "Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset," in Computer Architecture News, pp. 92--99, 2005.
[24]
M. Guthaus, J. Ringenberg, D. Ernst, T. Austin, T. Mudge, and R. Brown, "MiBench: A free, commercially representative embedded benchmark suite," in Workshop on Workload Characterization, 2001, pp. 3--14.
[25]
S. Bird, A. Phansalkar, L. K. John, A. Mericas, and R. Indukuru, "Performance characterization of SPEC CPU benchmarks on Intel's core microarchitecture based processor," in SPEC Benchmark Workshop, 2007.
[26]
www.cdsc.ucla.edu
[27]
S. Li, J. H. Ahn, R. Strong, J. Brockman, D. Tullsen, and N. Jouppi, "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proc. MICRO, 2009, pp. 469--480.

Cited By

View all
  • (2020)SPX64ACM Transactions on Architecture and Code Optimization10.1145/343673018:1(1-26)Online publication date: 30-Dec-2020
  • (2018)A case for richer cross-layer abstractionsProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00027(207-220)Online publication date: 2-Jun-2018
  • (2017)Designing large hybrid cache for future HPC systemsProceedings of the 25th High Performance Computing Symposium10.5555/3108096.3108105(1-12)Online publication date: 23-Apr-2017
  • Show More Cited By

Index Terms

  1. An energy-efficient adaptive hybrid cache

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '11: Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
    August 2011
    434 pages
    ISBN:9781612846606

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 01 August 2011

    Check for updates

    Author Tags

    1. energy reduction
    2. hybrid cache
    3. scratchpad memory

    Qualifiers

    • Research-article

    Conference

    ISLPED'11
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 06 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2020)SPX64ACM Transactions on Architecture and Code Optimization10.1145/343673018:1(1-26)Online publication date: 30-Dec-2020
    • (2018)A case for richer cross-layer abstractionsProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00027(207-220)Online publication date: 2-Jun-2018
    • (2017)Designing large hybrid cache for future HPC systemsProceedings of the 25th High Performance Computing Symposium10.5555/3108096.3108105(1-12)Online publication date: 23-Apr-2017
    • (2017)LMStrProceedings of the International Symposium on Memory Systems10.1145/3132402.3132440(152-165)Online publication date: 2-Oct-2017
    • (2017)Probabilistic replacement strategies for improving the lifetimes of NVM-based cachesProceedings of the International Symposium on Memory Systems10.1145/3132402.3132433(166-176)Online publication date: 2-Oct-2017
    • (2015)StashACM SIGARCH Computer Architecture News10.1145/2872887.275037443:3S(707-719)Online publication date: 13-Jun-2015
    • (2015)EECacheACM Transactions on Architecture and Code Optimization10.1145/275655212:2(1-22)Online publication date: 8-Jul-2015
    • (2015)StashProceedings of the 42nd Annual International Symposium on Computer Architecture10.1145/2749469.2750374(707-719)Online publication date: 13-Jun-2015
    • (2014)Reducing cache leakage energy for hybrid SPM-cache architecturesProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656124(1-9)Online publication date: 12-Oct-2014
    • (2014)EECacheProceedings of the 2014 international symposium on Low power electronics and design10.1145/2627369.2627661(303-306)Online publication date: 11-Aug-2014
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media