Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3132402.3132433acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmemsysConference Proceedingsconference-collections
research-article

Probabilistic replacement strategies for improving the lifetimes of NVM-based caches

Published: 02 October 2017 Publication History

Abstract

Non-volatile memory (NVM) technologies present an opportunity to improve area efficiency and reduce energy consumption throughout the memory hierarchy. However, write endurance can hinder the adoption of NVM in lower-level caches. With an estimated write endurance of one trillion write cycles, Spin-Torque Transfer RAM (STT-RAM) is a more likely candidate for application as an L2 cache than Resistive RAM (ReRAM) or Phase-Change Memory (PCM). In resource-constrained systems where aggressive wear-leveling techniques cannot be applied, light-weight alternatives may be necessary to extend the lifetime of the cache.
In this paper, we propose and evaluate a hybrid-random replacement policy as a low-overhead approach to wear-leveling to improve the lifetime of a large non-volatile memory L2 cache. We investigate another probabilistic mechanism that utilizes approximate counters as an alternative method of injecting random events in the eviction stream. We show that our hybrid-random policy extends the lifetime of an NVM L2 cache by 0.5 to 16 years across many benchmarks over an LRU-replacement baseline. Our approximate counter approach further extends the lifetime by 1.7 to 19 years over the baseline but incurs a higher overhead.

References

[1]
P. Abad, P. Prieto, V. Puente, and J. A. Gregorio. "AC-WAR: Architecting the Cache Hierarchy to Improve the Lifetime of a Non-Volatile Endurance-Limited Main Memory," in IEEE Transactions on Parallel and Distributed Systems, 27(1), 2016, pp. 66--77.
[2]
S. Balatti et al., "Understanding pulsed-cycling variability and endurance in HfOx RRAM," 2015 IEEE International Reliability Physics Symposium, Monterey, CA, 2015, pp. 5B.3.1--5B.3.6.
[3]
S. Cheemalavagu, P. Korkmaz, K. V. Palem, B. E. S. Akgul, and L. N. Chakrapani, "A probabilistic CMOS switch and its realization by exploiting noise," in Proceedings of The IFIP International Conference on Very Large Scale Integration, 2005.
[4]
E. Chen, D. Apalkov, Z. Diao, A. Driskill-Smith, D. Druist, D. Lottis, V. Nikitin, X. Tang, S. Watts, S. Wang, and S. A. Wolf. "Advances and future prospects of spin-transfer torque random access memory." IEEE Transactions on Magnetics 46.6, 2010, pp. 1873--1878.
[5]
Y. Chen, W.-F. Wong, H. Li, and C.-K. Koh, "Processor caches built using multi-level spin-transfer torque ram cells," in Proc. ISLPED 2011, 2011, pp. 73--78.
[6]
J. Cong, K. Gururaj, H. Hunag, C. Liu, G. Reinman, and Y. Zou, "An Energy-Efficient Adaptive Hybrid Cache," in Proc. ISLPED, 2011, pp. 67--72.
[7]
P. Flajolet. "Approximate counting: a detailed analysis," in BIT Numerical Mathematics 25.1, 1985, pp. 113--134.
[8]
GpuTest - Cross-Platform GPU Stress Test, OpenGL Benchmark for Windows, Linux and OS X - Geeks3D.com, 2012, http://www.geeks3d.com/gputest, Accessed April 2016.
[9]
Y. Huai. "Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects," in AAPPS Bulletin, 18(6), 2008.
[10]
A. Jadidi, M. Arjomand, and H. Sarbazi-Azad, "High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement," in Proc. ISLPED, 2011, pp. 79--84.
[11]
A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das. "Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs," in Proceedings of the 49th Annual Design Automation Conference. ACM, 2012, pp. 243--252.
[12]
W. Kang, L. Zhang, W. Zhao, J.O. Klein, Y. Zhang, D. Ravelosona, and C. Chappert. "Yield and reliability improvement techniques for emerging nonvolatile STT-MRAM," in IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 5(1), 2015, pp. 28--39.
[13]
Y. B. Kim, S. R. Lee, D. Lee, C. B. Lee, M. Chang, J. H. Hur, M. J. Lee, G. S. Park, C. J. Kim, U. I. Chung, and I. K. Yoo. "Bi-layered RRAM with unlimited endurance and extremely uniform switching," in VLSI Technology (VLSIT), 2011 Symposium, IEEE, 2011, pp. 52--53.
[14]
E. Kültürsay, M. Kandemir, A. Sivasubramaniam, and O. Mutlu. "Evaluating STT-RAM as an energy-efficient main memory alternative," in Performance Analysis of Systems and Software (ISPASS), 2013 IEEE International Symposium, April 2013, pp. 256--267.
[15]
H. Y. Lee, Y. S. Chen, P. S. Chen, P. Y. Gu, Y. Y. Hsu, S. M. Wang, W. H. Liu, C. H. Tsai, S. S. Sheu, P. C. Chiang, and W. P. Lin. "Evidence and solution of over-RESET problem for HfO x based resistive memory with sub-ns switching speed and high endurance," in Electron Devices Meeting (IEDM), 2010 IEEE International, 2010, pp. 19.7.1--4.
[16]
J. Li, L. Shi, C. J. Xue, C. Yang, and Y. Xu, "Exploiting set-level write non-uniformity for energy-efficient NVM-based hybrid cache," in Proc. 9th IEEE Symp. Embedded Syst. Real-Time Multimedia, 2011, pp. 19--28.
[17]
Y. Lu, et al. "Fully functional perpendicular STT-MRAM macro embedded in 40 nm logic for energy-efficient IOT applications," in 2015 IEEE International Electron Devices Meeting (IEDM), 2015.
[18]
P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, and B. Werner. "Simics: A full system simulation platform," in IEEE Computer 35.2, 2002, pp. 50--58.
[19]
J. S. Meena, S. M. Sze, U. Chand, and T. Y. Tseng. "Overview of emerging nonvolatile memory technologies," in Nanoscale Research Letters, 9.1, 2014.
[20]
S. Mittal and J.S. Vetter, "EqualWrites: Reducing Intra-set Write Variations for Enhancing Lifetime of Non-Volatile Caches," in IEEE Transactions on VLSI Systems, 24(1), 2016, pp. 103--114.
[21]
R. Morris. "Counting large numbers of events in small registers," in Communications of the ACM 21.10, 1978, pp. 840--842.
[22]
M. K. Qureshi, J. Karidis, M. Franceschini, V. Srinivasan, L. Lastras, and B. Abali. "Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling," in Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009, pp. 14--23.
[23]
A. Seznec. "A phase change memory as a secure main memory," in IEEE Computer Architecture Letters 9.1, 2010, pp. 5--8.
[24]
SPEC Benchmark, http://www.spec.org/cpu2006, 2006.
[25]
D. B. Strukov. "Endurance-write-speed tradeoffs in nonvolatile memories," in Applied Physics A, 122.4, 2016, pp. 1--4.
[26]
J. Wang, X. Dong, Y. Xie, and N. P. Jouppi, "i2WAP: Improving nonvolatile cache lifetime by reducing inter- and intra-set write variations," in Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit., 2013, pp. 234--245.
[27]
W. Xu, H. Sun, X. Wang, Y. Chen, and T. Zhang. "Design of last-level on-chip cache using spin-torque transfer RAM (STT RAM)," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(3), 2011, pp. 483--493.
[28]
P. Zhou, B. Zhao, J. Yang, & Y. Zhang. "A durable and energy efficient main memory using phase change memory technology" in ACM SIGARCH computer architecture news, Vol. 37, No. 3, June 2009, pp. 14--23.

Cited By

View all
  • (2022)Challenges and future directions for energy, latency, and lifetime improvements in NVMsDistributed and Parallel Databases10.1007/s10619-022-07421-x41:3(163-189)Online publication date: 21-Sep-2022
  • (2020)Energy-Efficient Runtime Adaptable L1 STT-RAM Cache DesignIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.291292039:6(1328-1339)Online publication date: Jun-2020
  • (2019)Endurance enhancement of write-optimized STT-RAM cachesProceedings of the International Symposium on Memory Systems10.1145/3357526.3357538(101-113)Online publication date: 30-Sep-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
MEMSYS '17: Proceedings of the International Symposium on Memory Systems
October 2017
409 pages
ISBN:9781450353359
DOI:10.1145/3132402
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 October 2017

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. aging
  2. lifetime reliability
  3. non-volatile memory
  4. spin torque transfer RAM

Qualifiers

  • Research-article

Conference

MEMSYS 2017

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2022)Challenges and future directions for energy, latency, and lifetime improvements in NVMsDistributed and Parallel Databases10.1007/s10619-022-07421-x41:3(163-189)Online publication date: 21-Sep-2022
  • (2020)Energy-Efficient Runtime Adaptable L1 STT-RAM Cache DesignIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.291292039:6(1328-1339)Online publication date: Jun-2020
  • (2019)Endurance enhancement of write-optimized STT-RAM cachesProceedings of the International Symposium on Memory Systems10.1145/3357526.3357538(101-113)Online publication date: 30-Sep-2019
  • (2019)A Novel Hybrid DRAM/STT-RAM Last-Level-Cache Architecture for Performance, Energy, and Endurance EnhancementIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.291838527:10(2375-2386)Online publication date: Oct-2019
  • (2019)Energy and Performance Analysis of STTRAM Caches for Mobile Applications2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)10.1109/MCSoC.2019.00044(257-264)Online publication date: Oct-2019

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media