Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/786452.786701guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

The Design of an Asynchronous MIPS R3000 Microprocessor

Published: 15 September 1997 Publication History
  • Get Citation Alerts
  • Abstract

    The design of an asynchronous clone of a MIPS R3000 microprocessor is presented. In 0.6 micron CMOS, we expect performance close to 280 MIPS, for a power consumption of 7 Watts. The paper describes the structure of a high- performance asynchronous pipeline, in particular precise exceptions, pipelined caches, arithmetic, and registers, and the circuit techniques developed to achieve high frequency.

    References

    [1]
    S.M. Burns and A.J. Martin. Performance Analysis and Optimization of Asynchronous Circuits. Proceedings Advanced Research in VLSI 1991, ed. C.H. Sequin, MIT Press, 1991.
    [2]
    U.V. Cummings, A.M. Lines, A.J. Martin. An Asynchronous Pipelined Lattice Structure Filter. Advanced Research in Asynchronous Circuits and Systems, IEEE Computer Society Press, 1994.
    [3]
    G. Kane and J. Heinrich. MIPS RISC Architecture. Prentice-Hall, 1992.
    [4]
    Andrew M. Lines. Pipelined Asynchronous Circuits. MS Thesis, Caltech-CS-TR-95-21, 1995.
    [5]
    Rajit Manohar. The Impact of Asynchrony on Computer Architecture. PhD Thesis, Caltech, In preparation, 1997.
    [6]
    A.J. Martin, S.M. Burns, T.K. Lee, D. Borkovic, P.J. Hazewindus. The Design of an Asynchronous Microprocessor. Decennial Caltech Conference on VLSI, ed. C.L. Seitz, MIT Press, 351-273, 1989.
    [7]
    Alain J. Martin. Synthesis of Asynchronous VLSI Circuits. Formal Methods for VLSI Design, ed. J. Staunstrup, North-Holland, 1990.
    [8]
    Alain J. Martin. The Limitations to Delay-Insensitivity in Asynchronous Circuits. Sixth MIT Conference on Advanced Research in VLSI, ed. W.J. Dally, MIT Press, 1990.
    [9]
    Alain J. Martin. Asynchronous Datapaths and the Design of an Asynchronous Adder. Formal Methods in System Design, 1:1, Kluwer, 117-137, 1992.
    [10]
    Mika Nyström. Pipelined Asynchronous Cache Design. MS Thesis, Caltech-CS-TR- 97-21, 1997.
    [11]
    S. Santhanam. StrongARM 110: A 160MHz 32b 0.5W CMOS ARM processor. Proceedings of HotChips VIII, 119-130, 1996.

    Cited By

    View all
    • (2018)Redressing fork constraints in nanoscale quasi-delay-insensitive asynchronous pipelinesThe Journal of Supercomputing10.1007/s11227-017-2056-074:8(3820-3840)Online publication date: 1-Aug-2018
    • (2017)Design of a low power, relative timing based asynchronous MSP430 microprocessorProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130571(794-799)Online publication date: 27-Mar-2017
    • (2016)Simultaneous slack matching, gate sizing and repeater insertion for asynchronous circuitsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972051(1042-1047)Online publication date: 14-Mar-2016
    • Show More Cited By

    Index Terms

    1. The Design of an Asynchronous MIPS R3000 Microprocessor
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image Guide Proceedings
      ARVLSI '97: Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
      September 1997
      ISBN:0818679131

      Publisher

      IEEE Computer Society

      United States

      Publication History

      Published: 15 September 1997

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 27 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2018)Redressing fork constraints in nanoscale quasi-delay-insensitive asynchronous pipelinesThe Journal of Supercomputing10.1007/s11227-017-2056-074:8(3820-3840)Online publication date: 1-Aug-2018
      • (2017)Design of a low power, relative timing based asynchronous MSP430 microprocessorProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130571(794-799)Online publication date: 27-Mar-2017
      • (2016)Simultaneous slack matching, gate sizing and repeater insertion for asynchronous circuitsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972051(1042-1047)Online publication date: 14-Mar-2016
      • (2015)A New Approach for Gate-Level Delay-Insensitive Asynchronous LogicCircuits, Systems, and Signal Processing10.5555/2765121.276513734:5(1431-1459)Online publication date: 1-May-2015
      • (2015)Preventing glitches and short circuits in high-level self-timed chip specificationsACM SIGPLAN Notices10.1145/2813885.273796750:6(270-279)Online publication date: 3-Jun-2015
      • (2015)Preventing glitches and short circuits in high-level self-timed chip specificationsProceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation10.1145/2737924.2737967(270-279)Online publication date: 3-Jun-2015
      • (2015)A synchronous latency-insensitive RISC for better than worst-case designIntegration, the VLSI Journal10.1016/j.vlsi.2014.01.00348:C(72-82)Online publication date: 1-Jan-2015
      • (2014)TonyChopperProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691457(446-453)Online publication date: 3-Nov-2014
      • (2014)Removing concurrency for rapid functional verificationProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691432(332-339)Online publication date: 3-Nov-2014
      • (2013)Slack matching mode-based asynchronous circuits for average-case performanceProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561873(219-225)Online publication date: 18-Nov-2013
      • Show More Cited By

      View Options

      View options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media