Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Logic synthesis for vlsi design
Publisher:
  • University of California, Berkeley
Order Number:AAI9006491
Pages:
235
Reflects downloads up to 13 Sep 2024Bibliometrics
Skip Abstract Section
Abstract

Very Large Scale Integration (scVLSI) currently allows hundreds of thousands of transistors in a single application-specific integrated circuit. The trend of increasing levels of integration has stressed the ability of the designer to keep pace. Traditional integrated circuit design has relied on analysis tools to measure the quality and correctness of a circuit before fabrication. However, only recently have synthesis tools been used to assist in the design process. The advantages of automatic synthesis include reduced design time, reduced probability of design error, and higher quality designs because more effort is focused at higher-levels in the design. Automatic placement and routing, a form of physical design synthesis, has become widely accepted over the last five years; however, logic design has, for the most part, remained a manual task. Logic synthesis is the automation of the logic design phase of scVLSI design; that is, choosing the specific gates and their interconnection to build a desired function. For digital integrated circuits which are partitioned into control and data-path portions, design of the control logic is often the most time-consuming. It is generally on the critical path for timing, and, because of the complexity of producing a correct description of the control, it is often on the critical path for completion of the design. Therefore, tools to assist in logic design will have a large impact on the design of integrated circuits. However, the benefits of automatic logic design are lost if the result does not meet its area, speed, or power constraints. Therefore, a critical aspect of automatic logic synthesis is the optimization problem of deriving a high-quality design from an initial specification. This thesis provides a set of logic optimization algorithms which together form a complete system for logic synthesis in a scVLSI design environment. Efficient, optimal algorithms are proposed for two-level minimization, multiple-level decomposition, and technology mapping. The techniques described in this thesis have been implemented in a software program called scMIS. The design of a complex digital circuit is included as part of this thesis to demonstrate the application of logic synthesis to a realistic design problem.

Cited By

  1. Chi C and Jiang J Logic Synthesis of Binarized Neural Networks for Efficient Circuit Implementation 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), (1-7)
  2. Matusiewicz A, Murray N and Rosenthal E Tri-based set operations and selective computation of prime implicates Proceedings of the 19th international conference on Foundations of intelligent systems, (203-213)
  3. ACM
    Baneres D, Cortadella J and Kishinevsky M Timing-driven N-way decomposition Proceedings of the 19th ACM Great Lakes symposium on VLSI, (363-368)
  4. Takata T and Matsunaga Y Area recovery under depth constraint by cut substitution for technology mapping for LUT-based FPGAs Proceedings of the 2008 Asia and South Pacific Design Automation Conference, (144-147)
  5. ACM
    Matsunaga T and Matsunaga Y Area minimization algorithm for parallel prefix adders under bitwise delay constraints Proceedings of the 17th ACM Great Lakes symposium on VLSI, (435-440)
  6. ACM
    Cheng L, Chen D and Wong M DDBDD Proceedings of the 44th annual Design Automation Conference, (910-915)
  7. Matsunaga T and Matsunaga Y (2007). Timing-Constrained Area Minimization Algorithm for Parallel Prefix Adders, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E90-A:12, (2770-2777), Online publication date: 1-Dec-2007.
  8. ACM
    Singh A, Mani M, Puri R and Orshansky M Gain-based technology mapping for minimum runtime leakage under input vector uncertainty Proceedings of the 43rd annual Design Automation Conference, (522-527)
  9. ACM
    Zhou Y, Sokolov D and Yakovlev A Cost-aware synthesis of asynchronous circuits based on partial acknowledgement Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, (158-163)
  10. ACM
    Li X, Stallmann M and Brglez F Effective bounding techniques for solving unate and binate covering problems Proceedings of the 42nd annual Design Automation Conference, (385-390)
  11. ACM
    Toms W and Edwards D Efficient synthesis of speed-independent combinational logic circuits Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (1022-1026)
  12. Singh A, Mani M and Orshansky M Statistical technology mapping for parametric yield Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (511-518)
  13. ACM
    Cong J, Fan Y, Han G and Zhang Z Application-specific instruction generation for configurable processor architectures Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (183-189)
  14. Jóźwiak L (2019). Advanced AI Search Techniques in Modern Digital Circuit Synthesis, Artificial Intelligence Review, 20:3-4, (269-318), Online publication date: 1-Dec-2003.
  15. Cho G and Chen T On Single/Dual-Rail Mixed PTL/Static Circuits in Floating-Body SOI and Bulk CMOS Proceedings of the 16th International Conference on VLSI Design
  16. Jóźwiak L and Postula A (2018). Genetic engineering versus natural evolution, Journal of Systems Architecture: the EUROMICRO Journal, 48:1-3, (99-112), Online publication date: 1-Sep-2002.
  17. Hlavička J and Fišer P BOOM Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design, (439-442)
  18. ACM
    Zhao M and Sapatnekar S A new structural pattern matching algorithm for technology mapping Proceedings of the 38th annual Design Automation Conference, (371-376)
  19. ACM
    Cong J and Hwang Y (2000). Structural gate decomposition for depth-optimal technology mapping in LUT-based FPGA designs, ACM Transactions on Design Automation of Electronic Systems (TODAES), 5:2, (193-225), Online publication date: 1-Apr-2000.
  20. ACM
    Changfan C, Hsu Y and Tsai F Post-routing timing optimization with routing characterization Proceedings of the 1999 international symposium on Physical design, (30-35)
  21. ACM
    Matsunaga Y On accelerating pattern matching for technology mapping Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (118-122)
  22. ACM
    Ferrandi F, Macii A, Macii E, Poncino M, Scarsi R and Somenzi F Symbolic algorithms for layout-oriented synthesis of pass transistor logic circuits Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design, (235-241)
  23. Lu A, Stenz G and Johannes F Technology mapping for minimizing gate and routing area Proceedings of the conference on Design, automation and test in Europe, (664-669)
  24. ACM
    Kukimoto Y, Brayton R and Sawkar P Delay-optimal technology mapping by DAG covering Proceedings of the 35th annual Design Automation Conference, (348-351)
  25. ACM
    Salek A, Lou J and Pedram M A DSM design flow Proceedings of the 35th annual Design Automation Conference, (128-134)
  26. ACM
    Narayanan U, Leong H, Chung K and Liu C Low power multiplexer decomposition Proceedings of the 1997 international symposium on Low power electronics and design, (269-274)
  27. ACM
    Holt G and Tyagi A Minimizing interconnect energy through integrated low-power placement and combinational logic synthesis Proceedings of the 1997 international symposium on Physical design, (48-53)
  28. Carloni L, McGeer P, Saldanha A and Sangiovanni-Vincentelli A Trace driven logic synthesis—application to power minimization Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (581-588)
  29. Lou J, Salek A and Pedram M An exact solution to simultaneous technology mapping and linear placement problem Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design, (671-675)
  30. ACM
    Liao S and Devadas S Solving covering problems using LPR-based lower bounds Proceedings of the 34th annual Design Automation Conference, (117-120)
  31. ACM
    Thakur S and Wong D Universal logic modules for series-parallel functions Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays, (31-37)
  32. ACM
    Rudell R Design of a logic synthesis system (tutorial) Proceedings of the 33rd annual Design Automation Conference, (191-196)
  33. ACM
    Coudert O On solving covering problems Proceedings of the 33rd annual Design Automation Conference, (197-202)
  34. ACM
    Thakur S, Wong D and Krishnamoorthy S Delay minimal decomposition of multiplexers in technology mapping Proceedings of the 33rd annual Design Automation Conference, (254-257)
  35. Beerel P, Chou W and Yun K A heuristic covering technique for optimizing average-case delay in the technology mapping of asynchronous burst-mode circuits Proceedings of the conference on European design automation, (284-289)
  36. Coudert O and Haddad R Integrated resynthesis for low power Proceedings of the 1996 international symposium on Low power electronics and design, (169-174)
  37. Beerel P, Yun K and Chou W Optimizing average-case delay in technology mapping of burst-mode circuits Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
  38. ACM
    Iman S and Pedram M Logic extraction and factorization for low power Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (248-253)
  39. ACM
    Coudert O and Madre J New ideas for solving covering problems Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (641-646)
  40. ACM
    Rohfleisch B, Wurth B and Antreich K Logic clause analysis for delay optimization Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (668-672)
  41. ACM
    Sawasaki M, Ykman-Couvreur C and Lin B Externally hazard-free implementations of asynchronous circuits Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (718-724)
  42. Iman S and Pedram M Two-level logic minimization for low power Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (433-438)
  43. Coudert O Doing two-level logic minimization 100 times faster Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms, (112-121)
  44. Kapoor B Improved technology mapping using a new approach to Boolean matching Proceedings of the 1995 European conference on Design and Test
  45. ACM
    Krishnamoorthy S and Mailhot F Boolean matching of sequential elements Proceedings of the 31st annual Design Automation Conference, (691-697)
  46. Tsui C, Pedram M, Chen C and Despain A Low power state assignment targeting two-and multi-level logic implementations Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (82-87)
  47. Grodstein J, Lehman E, Harkness H, Touati H and Grundmann B Optimal latch mapping and retiming within a tree Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (242-245)
  48. Siegel P and De Micheli G Decomposition methods for library binding of speed-independent asynchronous designs Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, (558-565)
  49. ACM
    Siegel P, De Micheli G and Dill D Automatic technology mapping for generalized fundamental-mode asynchronous designs Proceedings of the 30th international Design Automation Conference, (61-67)
  50. ACM
    Tiwari V, Ashar P and Malik S Technology mapping for lower power Proceedings of the 30th international Design Automation Conference, (74-79)
  51. ACM
    McGeer P, Sanghavi J, Brayton R and Vincentelli A Espresso-signature Proceedings of the 30th international Design Automation Conference, (618-624)
  52. Fishburn J LATTIS: an iterative speedup heuristic for mapped logic Proceedings of the 29th ACM/IEEE Design Automation Conference, (488-491)
  53. Chaudhary K and Pedram M A near optimal algorithm for technology mapping minimizing area under delay constraints Proceedings of the 29th ACM/IEEE Design Automation Conference, (492-498)
  54. Savoj H, Silva M, Brayton R and Sangiovanni-Vincentelli A Boolean matching in logic synthesis Proceedings of the conference on European design automation, (168-174)
  55. Nowick S and Dill D Exact two-level minimization of hazard-free logic with multiple-input changes Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (626-630)
  56. ACM
    Ercolani S and De Micheli G Technology mapping for electrically programmable gate arrays Proceedings of the 28th ACM/IEEE Design Automation Conference, (234-239)
  57. Mailhot F and De Micheli G Technology mapping using boolean matching and don't care sets Proceedings of the conference on European design automation, (212-216)
Contributors
  • Synopsys Incorporated
  • Department of Electrical Engineering and Computer Sciences

Recommendations