Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1013235.1013248acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Improved clock-gating through transparent pipelining

Published: 09 August 2004 Publication History

Abstract

This paper re-examines the well established clocking principles of pipelines. It is observed that clock gating techniques that have long been assumed optimal in reality produce a significant amount of redundant clock pulses. The paper presents a new theory for optimal clocking of synchronous pipelines, presents practical implementations and evaluates the clock power benefits on a multiply/add-accumulate unit design. Transistor level simulations show that dynamic clock power dissipation can be reduced by 40-60% at pipeline utilization factors between 20-60%, on top of traditional stage-level clock gating, without affecting pipeline latency or throughput.

References

[1]
BROOKS, D. M., BOSE, P., SCHUSTER, S. E., JACOBSON, H., KUDVA, P. N., BUYUKTOSUNOGLU, A., WELLMAN, J., ZYUBAN, V., GUPTA, M., AND COOK, P. W. Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. IEEE Micro 20, 6 (November/December 2000), 26--44.
[2]
CHANDRAKASAN, A., AND BRODERSEN, R. Low Power CMOS Design. IEEE Press., 1998.
[3]
CORREALE JR., A. Overview of the power minimization techniques employed in the IBM PowerPC 4xx embedded controllers. In Proc. International Symposium on Low Power Electronics and Design (ISLPED) (1995), pp. 75--80.
[4]
EFTHYMIOU, A., AND GARSIDE, J. Adaptive Pipeline Depth Control for Processor Power-Management. In ICCD (2002).
[5]
GOWAN, M., BIRO, L., AND JACKSON, D. Power Considerations in the Design of the Alpha 21264 Microprocessor. In DAC (June 1998), pp. 726--731.
[6]
KOPPANALIL, J., RAMRAKHYANI, P., DESAI, S., VAIDYANATHAN, A., AND ROTENBERG, E. A Case for Dynamic Pipeline Scaling. In CASES (October 2002).
[7]
SHIMADA, H., ANDO, H., AND SHIMADA, T. Pipeline Stage Unification: A Low-Energy Consumption Technique for Future Mobile Processors. In ISLPED (August 2003), pp. 326--329.
[8]
TIWARI, V., SINGH, D., RAJGOPAL, S., MEHTA, G., PATEL, R., AND BAEZ, F. Reducing Power in High-Performance Microprocessors. In DAC (June 1998), pp. 732--737.

Cited By

View all
  • (2022)Power Reduction using Pipeline-Clock Gating Technique in Synchronous Design with FPGA Implementation2022 3rd Information Technology To Enhance e-learning and Other Application (IT-ELA)10.1109/IT-ELA57378.2022.10107932(210-214)Online publication date: 27-Dec-2022
  • (2020)Automated Design of Reconfigurable Microarchitectures for Accelerators Under Wide-Voltage ScalingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.295095928:3(777-790)Online publication date: Mar-2020
  • (2020)Automated Design Flows and Run-Time Optimization for Reconfigurable MicroarchitecuresAdaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling10.1007/978-3-030-38796-9_3(55-92)Online publication date: 28-Feb-2020
  • Show More Cited By

Index Terms

  1. Improved clock-gating through transparent pipelining

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design
    August 2004
    414 pages
    ISBN:1581139292
    DOI:10.1145/1013235
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 09 August 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. adaptive pipeline depth
    2. circuits
    3. clock gating
    4. dynamic pipeline scaling
    5. high performance
    6. low power
    7. microarchitecture
    8. optimal pipeline clocking
    9. pipeline stage unification
    10. transparent pipeline

    Qualifiers

    • Article

    Conference

    ISLPED04
    Sponsor:
    ISLPED04: International Symposium on Low Power Electronics and Design
    August 9 - 11, 2004
    California, Newport Beach, USA

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)8
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 03 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Power Reduction using Pipeline-Clock Gating Technique in Synchronous Design with FPGA Implementation2022 3rd Information Technology To Enhance e-learning and Other Application (IT-ELA)10.1109/IT-ELA57378.2022.10107932(210-214)Online publication date: 27-Dec-2022
    • (2020)Automated Design of Reconfigurable Microarchitectures for Accelerators Under Wide-Voltage ScalingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.295095928:3(777-790)Online publication date: Mar-2020
    • (2020)Automated Design Flows and Run-Time Optimization for Reconfigurable MicroarchitecuresAdaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling10.1007/978-3-030-38796-9_3(55-92)Online publication date: 28-Feb-2020
    • (2020)Reconfigurable Microarchitecures Down to Pipestage and Memory Bank LevelAdaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling10.1007/978-3-030-38796-9_2(17-53)Online publication date: 28-Feb-2020
    • (2018)Aggressive Slack Recycling via Transparent PipelinesProceedings of the International Symposium on Low Power Electronics and Design10.1145/3218603.3218623(1-6)Online publication date: 23-Jul-2018
    • (2018)Dynamically Adaptable Pipeline for Energy-Efficient Microarchitectures Under Wide Voltage ScalingIEEE Journal of Solid-State Circuits10.1109/JSSC.2017.276840653:2(632-641)Online publication date: Feb-2018
    • (2018)Design of Soft Edge Flip Flops for the Reduction of Power Delay Product in Linear Pipeline Circuits2018 International Conference on Communication and Signal Processing (ICCSP)10.1109/ICCSP.2018.8524364(0148-0151)Online publication date: Apr-2018
    • (2017)Timing Speculation in Multi-Cycle Data PathsIEEE Computer Architecture Letters10.1109/LCA.2016.258050116:1(84-87)Online publication date: 1-Jan-2017
    • (2016)ScalCore: Designing a core for voltage scalability2016 IEEE International Symposium on High Performance Computer Architecture (HPCA)10.1109/HPCA.2016.7446104(681-693)Online publication date: Mar-2016
    • (2016)Design of a Transparent Pipeline-Based MultiplierProceedings of the 3rd International Conference on Intelligent Technologies and Engineering Systems (ICITES2014)10.1007/978-3-319-17314-6_62(485-491)Online publication date: 2016
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media