Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1687399.1687428acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

On soft error rate analysis of scaled CMOS designs: a statistical perspective

Published: 02 November 2009 Publication History

Abstract

This paper re-examines the soft error effect caused by cosmic radiation in sub 90nm technologies. Considering the impact of process variation, a number of statistical natures of transient faults are found more sophisticated than their static ones. We apply the state-of-the-art statistical learning algorithm to tackle the complexity of these natures and build compact yet accurate generation and propagation models for transient fault distributions. A statistical analysis framework for soft error rate (SER) is also proposed on the basis of these models. Experimental results show that the proposed framework can obtain improved SER estimation compared to the static approaches.

References

[1]
O. A. Amusan, L. W. Massengill, B. L. Bhuva, S. DasGupta, A. F. Witulski, and J. R. Ahlbin. Design techniques to reduce set pulse widths in deep-submicron combinational logic. IEEE Tran. Nuclear Science, 54(6):2060--2064, Dec 2007.
[2]
W. Bartlett and L. Spainhower. Commercial fault tolerance: a tale of two systems. IEEE Tran. Dependable and Secure Computing, 1(1):87--96, Jan-Mar 2004.
[3]
D. M. Bates and D. G. Watts. Nonlinear Regression Analysis and Its Applications. John Wiley and Sons, 1988.
[4]
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De. Parameter variations and impact on circuits and microarchitecture. In Proc. Design Automation Conf., pages 338--342, Jul 2003.
[5]
K. Bowman, S. Duvall, and J. Meindl. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE Jour. Solid-State Circuits, 37(2):183--190, Feb 2002.
[6]
H. Cha and J. H. Patel. A logic-level model for α particle hits in cmos circuits. In Proc. Int'l Conf. Circuit Design, pages 538--542, Aug 1993.
[7]
N. Cristianini and J. Shawe-Taylor. An Introduction to Support Vector Machines and Other Kernel-based Learning Methods. Cambridge University Press, 2002.
[8]
P. E. Dodd and L. W. Massengill. Basic mechanisms and modeling of single-event upset in digital microelectronics. IEEE Tran. Nuclear Science, 50(3):583--602, Jun 2003.
[9]
R. Garg, C. Nagpal, and S. P. Khatri. A fast, analytical estimator for the seu-induced pulse width in combinational designs. In Proc. Design Automation Conf., pages 918--923, Jul 2008.
[10]
S. Krishnaswamy, I. Markov, and J. P. Hayes. On the role of timing masking in reliable logic circuit design. In Proc. Design Automation Conf., pages 924--929, Jul 2008.
[11]
N. Miskov-Zivanov and D. Marculescu. Mars-c: modeling and reduction of soft errors in combinational circuits. In Proc. Design Automation Conf., pages 767--772, Jul 2006.
[12]
N. Miskov-Zivanov, K.-C. Wu, and D. Marculescu. Process variability-aware transient fault modeling and analysis. In Proc. Int'l Conf. Computer Aided Design, pages 685--690, Nov 2008.
[13]
S. Mitra, N. Seifert, M. Zhang, Q. Shi, and K. S. Kim. Robust system design with built-in soft error resilience. IEEE Tran. Computer, 38(2):43--52, Feb 2005.
[14]
K. Mohanram. Closed-form simulation and robustness models for seu-tolerant design. In Proc. VLSI Test Symp., pages 327--333, May 2005.
[15]
S. Mukherjee, M. Kontz, and S. Reihardt. Detailed design and evaluation of redundant multi-threading alternatives. In Proc. Int'l Symp. Computer Architecture, pages 99--110, May 2002.
[16]
Nangate Inc. Nangate 45nm Open Library, 2008. Available at http://www.nangate.com/.
[17]
Nanoscale Integration and Modeling Group. Predictive Technology Model, 2008. Available at http://www.eas.asu.edu/ptm/.
[18]
S. Natarajan, M. Breuer, and S. Gupta. Process variations and their impact on circuit operation. In Proc. Int'l Symp. Defect and Fault Tolerance in VLSI Systems, pages 73--81, Nov 1998.
[19]
M. Omana, G. Papasso, D. Rossi, and C. Metra. A model for transient fault propagation in combinational logic. In Proc. Int'l On-Line Testing Symp., pages 111--115, 2003.
[20]
R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, and M. J. Irwin. Seat-la: a soft error analysis tool for combinational logic. In Proc. Int'l Conf. VLSI Design, pages 499--502, Jan 2006.
[21]
K. Ramakrishnan, R. Rajaraman, S. Suresh, N. Vijaykrishnan, Y. Xie, and M. J. Irwin. Variation impact on ser of combinational circuits. In Proc. Int'l Smyp. Quality Electronic Design, pages 911--916, 2007.
[22]
R. Rao, K. Chopra, D. Blaauw, and D. Sylvester. An efficient static algorithm for computing the soft error rates of combinational circuits. In Proc. Design Automation and Test in Europe Conf., pages 164--169, March 2006.
[23]
Semiconductor Roadmap Committee of Japan. Parameters of Low Power SoC Design, 2003. Available at http://strj-jeita.elisasp.net/pdf-nenjihoukoku-0303-roadmap/3-13_setsukei_task_force.pdf.
[24]
P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, and L. Alvisi. Modeling the effect of technology trends on the soft error rate of combinational logic. In Proc. Int'l Conf. Dependable Systems and Networks, pages 389--398, 2002.
[25]
A. J. Smola, B. Scholkopf, and B. S. Olkopf. A tutorial on support vector regression. Technical report, Statistics and Computing, 2003.
[26]
Y. Tosaka, H. Hanata, T. Itakura, and S. Satoh. Simulation technologies for cosmic ray neutron-induced soft errors: models and simulation systems. IEEE Tran. Nuclear Science, 46(3):774--780, Jun 1999.
[27]
V. N. Vapnik. The nature of statistical learning theory. Springer-Verlag New York, Inc., New York, NY, USA, 1995.
[28]
S. Weisberg. Applied Linear Regression, 3rd Edition. John Wiley and Sons, 2005.
[29]
B. Zhang, W.-S. Wang, and M. Orshansky. Faser: fast analysis of soft error susceptibility for cell-based designs. In Proc. Int'l Smyp. Quality Electronic Design, pages 755--760, Mar 2006.
[30]
M. Zhang, T. Mak, J. Tschanz, K. Kim, N. Seifert, and D. Lu. Design for resilience to soft errors and variations. In Proc. Int'l On-Line Test Symp., pages 23--28, Jul 2007.
[31]
M. Zhang and N. Shanbhag. A soft error rate analysis (sera) methodology. In Proc. Int'l Conf. Computer Aided Design, pages 111--118, Nov 2004.

Cited By

View all
  • (2024)Efficient Topology Reconfiguration for NoC-Based Multiprocessors: A Greedy-Memetic AlgorithmJournal of Parallel and Distributed Computing10.1016/j.jpdc.2024.104904(104904)Online publication date: Apr-2024
  • (2023)Enhanced PATRON: Fault Injection and Power-aware FSM Encoding Through Linear ProgrammingACM Transactions on Design Automation of Electronic Systems10.1145/361166928:6(1-26)Online publication date: 3-Aug-2023
  • (2022)TAMED: Transitional Approaches for LFI Resilient State Machine Encoding2022 IEEE International Test Conference (ITC)10.1109/ITC50671.2022.00011(46-55)Online publication date: Sep-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
November 2009
803 pages
ISBN:9781605588001
DOI:10.1145/1687399
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 November 2009

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD '09
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Efficient Topology Reconfiguration for NoC-Based Multiprocessors: A Greedy-Memetic AlgorithmJournal of Parallel and Distributed Computing10.1016/j.jpdc.2024.104904(104904)Online publication date: Apr-2024
  • (2023)Enhanced PATRON: Fault Injection and Power-aware FSM Encoding Through Linear ProgrammingACM Transactions on Design Automation of Electronic Systems10.1145/361166928:6(1-26)Online publication date: 3-Aug-2023
  • (2022)TAMED: Transitional Approaches for LFI Resilient State Machine Encoding2022 IEEE International Test Conference (ITC)10.1109/ITC50671.2022.00011(46-55)Online publication date: Sep-2022
  • (2020)Process Variation-Aware Soft Error Rate Estimation Method for Integrated CircuitsSoft Error Reliability of VLSI Circuits10.1007/978-3-030-51610-9_3(25-43)Online publication date: 14-Oct-2020
  • (2019)A Layout-Based Soft Error Vulnerability Estimation Approach for Combinational Circuits Considering Single Event Multiple Transients (SEMTs)IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.283442538:6(1109-1122)Online publication date: 1-Jun-2019
  • (2018)Soft Error Rate Reduction of Combinational Circuits Using Gate Sizing in the Presence of Process VariationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.256956225:1(247-260)Online publication date: 29-Dec-2018
  • (2018)A Fast Statistical Soft Error Rate Estimation Method for Nano-scale Combinational CircuitsJournal of Electronic Testing: Theory and Applications10.1007/s10836-016-5583-332:3(291-305)Online publication date: 28-Dec-2018
  • (2017)Soft error tolerant design of combinational circuits based on a local logic substitution schemeMicroelectronics Journal10.1016/j.mejo.2017.08.00667(143-154)Online publication date: Sep-2017
  • (2016)Statistical soft error rate estimation of combinational circuits using Bayesian networksCOMPEL - The international journal for computation and mathematics in electrical and electronic engineering10.1108/COMPEL-09-2015-031735:5(1760-1773)Online publication date: 5-Sep-2016
  • (2014)Soft error estimation and mitigation of digital circuits by characterizing input patterns of logic gatesMicroelectronics Reliability10.1016/j.microrel.2014.03.00354:6-7(1412-1420)Online publication date: Jun-2014
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media