Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication

Published: 01 July 2011 Publication History

Abstract

On-chip communication, including short, often-multicast, latency-critical coherence and synchronization messages, and long, unicast, throughput-sensitive data transfers, limits the power efficiency and performance scalability of many-core chip-multiprocessor systems. This article analyzes on-chip communication challenges and studies the characteristics of existing electrical and emerging nanophotonic interconnect. Iris, a CMOS-compatible high-performance low-power nanophotonic on-chip network, is thus introduced. Iris's circuit-switched subnetwork supports throughput-sensitive data transfer. Iris's optical-antenna-array-based broadcast--multicast subnetwork optimizes latency-critical traffic and supports the path setup of circuit-switched communication. Overall, the proposed nanophotonic network design offers an on-chip communication backplane that is power efficient while demonstrating low latency and high throughput.

References

[1]
Aslot, V., Domeika, M., Eigenmann, R., Gaertner, G., Jones, W. B., and Parady, B. 2001. SPEComp: A new benchmark suite for measuring parallel computer performance. In Proceedings of the Workshop on OpenMP Applications and Tools. 1--10.
[2]
Batten, C., Joshi, A., Orcutt, J., Khilo, A., Moss, B., Holzwarth, C., Popovic, M., Li, H., Smith, H., Hoyt, J., Kartner, F., Ram, R., Stojanovic, V., and Asanovic, K. 2008. Building manycore processor-to-DRAM networks with monolithic silicon photonics. In Proceedings of the IEEE Symposium on High Performance Interconnects. 21--30.
[3]
Beausoleil, R. G., Kuekes, P. J., Snider, G. S., Wang, S.-Y., and Williams, R. S. 2008. Nanoelectronic and nanophotonic interconnect. Proc. IEEE 96, 2, 230--247.
[4]
Binkert, N. L., Dreslinski, R. G., Hsu, L. R., Lim, K. T., Saidi, A. G., and Reinhardt, S. K. 2006. The M5 simulator: Modeling networked systems. IEEE Micro 26, 4, 52--60.
[5]
Black, B., Annavaram, M., Brekelbaum, N., Devale, J., Jiang, L., Loh, G. H., McCaule, D., Morrow, P., Nelson, D. W., Pantuso, D., Reed, P., Rupley, J., Shankar, S., Shen, J., and Webb, C. 2006. Die stacking (3D) microarchitecture. In Proceedings of the International Symposium on Microarchitecture. 469--479.
[6]
Charlesworth, A. 2001. The SUN fire plane system interconnect. In Proceedings of the Supercomputing Conference. 7.
[7]
Chen, G., Chen, H., Haurylau, M., Nelson, N. A., Albonesi, D. H., Fauchet, P. M., and Friedman, E. G. 2007. Predictions of CMOS compatible on-chip optical interconnect. Integr. VLSI J. 40, 4, 434--446.
[8]
Chen, L., Preston, K., Manipatruni, S., and Lipson, M. 2009. Integrated GHz silicon photonic interconnect with micrometer-scale modulators and detectors. Opt. Express 17, 17, 15248--15256.
[9]
Chen, X., Mohamed, M., Schwartz, B., Li, Z., Shang, L., and Mickelson, A. 2010. Racetrack filters for nanophotonic on-chip networks. In Proceedings of the Integrated Photonics Research, Silicon and Nano Photonics (IPR).
[10]
Cianchetti, M. J., Kerekes, J. C., and Albonesi, D. H. 2009. Phastlane: a rapid transit optical routing network. In Proceedings of the International Symposium on Computer Architecture. 441--450.
[11]
Cox, C. H. 2006. Analog Optical Links: Theory and Practice. Cambridge University Press.
[12]
CST. Complete technology for 3D electromagnetic simulation. http://www.cst.com.
[13]
Dulkeith, E., Xia, F., Schares, L., Green, W. M. J., and Vlasov, Y A. 2006. Group index and group velocity dispersion in silicon-on-insulator photonic wires. Opt. Express 14, 9, 3853--3863.
[14]
ePIXfab 2008. ePIXfab 2008. ePIXfab website. http://www.epixfab.eu/.
[15]
Gratz, P., Kim, C., Sankaralingam, K., Hanson, H., Shivakumar, P., Keckler, S. W., and Burger, D. 2007. On-chip interconnection networks of the TRIPS chip. IEEE Micro 27, 5, 41--50.
[16]
HFSS. High frequency structural simulator. http://www.ansoft.com/products/hf/hfss/.
[17]
Hoskote, Y, Vangal, S., Singh, A., Borkar, N., and Borkar, S. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 5, 51--61.
[18]
Howard, J., Dighe, S., Hoskote, Y., Vangal, S., Finan, D., Ruhl, G., Jenkins, D., Wilson, H., Borkar, N., Schrom, G., Pailet, F., Jain, S., Jacob, T., Yada, S., Marella, S., Salihundam, P., Erraguntla, V, Konow, M., Riepen, M., Droege, G., Lindemann, J., Gries, M., Apel, T., Henriss, K., Lund-Larsen, T., Steibl, S., Borkar, S., De, V, Wijngaart, R. V. D., and Mattson, T. 2010. A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS. InProceedings of the International Solid-State Circuits Conference. 108--109.
[19]
ITRS 2008. International Technology Roadmap for Semiconductors. http://public.itrs.net/.
[20]
Jerger, N. E., Peh, L.-S., and Lipasti, M. 2008. Virtual circuit tree multicasting: A case for on-chip hardware multicast support. In Proceedings of the International Symposium on Computer Architecture.
[21]
Joshi, A., Batten, C., Kwon, Y.-J., Beamer, S., Shamim, I., Asanovic, K., and Stojanovic, V 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the International Symposium on Networks-on-Chip. 124--133.
[22]
Kahng, A., Li, B., Peh, L.-S., and Samadi, K. 2009. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration. In Proceedings of the Design, Automation & Test in Europe Conference. 423--428.
[23]
Kirman, N., Kirman, M., Dokania, R. K., Martinez, J. F., Apsel, A. B., Watkins, M. A., and Albonesi, D. H. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the International Symposium on Microarchitecture. 492--503.
[24]
Kobayashi, S., Lampe, R., Mittra, R., and Ray, S. 1981. Dielectric rod leaky-wave antennas for millimeter-wave applications. IEEE Trans.Antennas Propag. 29, 5, 822--824.
[25]
Koester, S., Schow, C., Schares, L., Dehlinger, G., Schaub, J., Doany, F., and John, R. 2007. Ge-on-SOI-Detector/Si-CMOS-Amplifier receivers for high-performance opticalcommunication applications. J. Lightwave Technol. 25, 1, 46--57.
[26]
Koka, P., McCracken, M. O., Schwetman, H., Zheng, X., Ho, R., and Krishnamoorthy, A. V. 2010. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. In Proceedings of the International Symposium on Computer Architecture. 117--128.
[27]
Krishnamoorthy, A. V, Goossen, K. W., Jan, W., Zheng, X., Ho, R., Li, G., Rozier, R., Liu, F., Patil, D., Lexau, J., Schwetman, H., Feng, D., Asghari, M., Pinguet, T., and Cunningham, J. E. 2010. Progress in low-power switched optical interconnects. IEEE J. Select. Top. Quantum Electron.
[28]
Lee, B. G., Campenhout, J. V., Rylyakov, A. V., Schow, C. L., Green, W. M., Assefa, S., Yang, M., Doany, F. E., Jahnes, C. V., John, R. A., Kash, J. A., and Vlas, Y A. 2010. Broadband silicon photonic switch integrated with CMOS drive electronics. In Proceedings of the Conference on Lasers and Electro-Optics. Proceedings of the Conference on Lasers and Electro-Optics.
[29]
Li, M.-L., Sasanka, R., Adve, S. V., Chen, Y-K., and Debes, E. 2005. The ALPbench benchmark suite for complex multimedia applications. In Proceedings of the International Symposium on Workload Characterization. 34--35.
[30]
Little, B. E., Haus, H. A., Foresi, J. S., Kimmerling, L., Ippen, E. P., and Ripin, D. J. 1998. Wavelength switching and routing using absorption and resonance. IEEE Photon. Techn. Lett. 10, 6, 816--818.
[31]
Martin, M., Hill, M., and Wood, D. 2003. Token coherence: decoupling performance and correctness. In Proceedings of the International Symposium on Computer Architecture. 182--193.
[32]
Miller, J., Psota, J., Kurian, G., Beckmann, N., Eastep, J., Liu, J., Beals, M., Michel, J., Kimerling, L., and Agarwal, A. 2009. ATAC: A manycore processor with on-chip optical network. Tech. rep., Massachusetts Institute of Technology.
[33]
Mullins, R., West, A., and Moore, S. 2004. Low-latency virtual-channel routers for on-chip networks. In Proceedings of the International Symposium on Computer Architecture. 188--197.
[34]
Muralimanohar, N., Balasubramonian, R., and Jouppi, N. P. 2009. CACTI 6.0: A tool to model large caches. Tech. rep., HP Laboratories.
[35]
NKT 2010. NKT photonics website. http://www.nktphotonics.com/.
[36]
Pan, Y, Kumar, P., Kim, J., Memik, G., Zhang, Y, and Choudhary, A. 2009. Firefly: illuminating future network-on-chip with nanophotonics. In Proceedings of the International Symposium on Computer Architecture. 429--440.
[37]
Pergande, D. and Wehrspohn, R. B. 2010. Losses and group index dispersion in insulatoron-silicon-on-insulator ridgewaveguides. Opt. Express 18, 5, 4590--4600.
[38]
Popovic, M., Ippen, E., and Kartner, F. 2007. Low-loss bloch waves in open structures and highly compact, efficient si waveguide-crossing arrays. In Proceedings of the Annual Meeting of the IEEE Lasers and Electro-Optics Society (LEOS).
[39]
Sahni, S., Luo, X., Liu, J., Hong Xie, Y, and Yablonovitch, E. 2008. Junction field-effect transistor-based germanium photodetector on silicon-on-insulator. Opt. Lett. 33, 10, 1138--1140.
[40]
Selvaraja, S. K., Sleeckx, E., Schaekers, M., Bogaerts, W., Thourhout, D. V., Dumon, P., and Baets, R. 2009. Low-loss amorphous silicon-on-insulator technology for photonic integrated circuitry. Opt. Comm. 282, 9, 1767--1770.
[41]
Shacham, A., Bergman, K., and Carloni, L. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9, 1246--1260.
[42]
SPLASH2. SPLASH2 website. http://www-flash.stanford.edulapps/SPLASH/.
[43]
Standard Performance Evaluation Corporation 2008. Java business benchmark. http://www.spec.org.
[44]
Transaction Processing Performance Council 2008. Transaction processing and database benchmarks. http://www.tpc.org.
[45]
Vantrease, D., Binkert, N., Schreiber, R., and Lipasti, M. H. 2009. Light speed arbitration and flow control for nanophotonic interconnects. In Proceedings of the International Symposium on Microarchitecture.
[46]
Vantrease, D., Schreiber, R., Monchiero, M., McLaren, M., Jouppi, N. P., Fiorentino, M., Davis, A., Binkert, N., Beausoleil, R. G., and Ahn, J. H. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the International Symposium on Computer Architecture. 153--164.
[47]
Vermeulen, D., Selvaraja, S., Verheyen, P., Lepage, G., Bogaerts, W., and Roelkens, G. 2009. High-efficiency silicon-on-insulator fiber-to-chip grating couplers using a silicon overlay. In Proceedings of the 6th IEEE International Conference on Group N Photonics.
[48]
Vlasov, Y and McNab, S. 2004. Losses in single-mode silicon-on-insulator strip waveguides and bends. Opt. Express 12, 8, 1622--1631.
[49]
Xiao, S., Khan, M., Shen, H., and Qi, M. 2007. Low-loss ultra-compact soi microring add-drop filters. In Frontiers in Optics. Optical Society of America, PDP_D6.
[50]
Xue, J., Garg, A., Ciftcioglu, B., Hu, J., Wang, S., Savidis, I., Jain, M., Berman, R., Liu, P., Huang, M., Wu, H., Friedman, E., Wicks, G., and Moore, D. 2010. An intra-chip free-space optical interconnect. InProceedings of the International Symposium on Computer Architecture. 94--105.
[51]
Zheng, X., Koka, P., Schwetman, H., Lexau, J., Ho, R., Cunningham, J., and Krishnamoorthy, A. 2008. Silicon photonic wdm point-to-point network for multi-chip processor interconnects. In Proceedings of the 5th IEEE International Conference on Group IV Photonics. 380--382.
[52]
Zheng, X., Lexau, J., Luo, Y, Thacker, H., Pinguet, T., Mekis, A., Li, G., Sri, J., Amberg, P., Pinckney, N., Raj, K., Ho, R., Cunningham, J. E., and Krishnamoorthy, A. V. 2010a. Ultra-low-energy all-CMOS modulator integrated with driver. Opt. Express 18, 3, 3059--3070.
[53]
Zheng, X., Liu, F., Patil, D., Thacker, H., Luo, Y, Pinguet, T., Mekis, A., Yao, J., Li, G., Sri, J., Raj, K., Lexau, J., Alon, E., Ho, R., Cunningham, J. E., and Krishnamoorthy, A. V. 2010b. A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. Opt. Express 18, 1, 204--211.
[54]
Zhou, H. and Filipovic, D. S. 2010. Optical dielectric rod antenna for on-chip communications. In Proceedings of the IEEE Antennas and Propagation Symposium. 1--4.
[55]
Zhou, H., Li, Z., Shang, L., Mickelson, A., and Filipovic, D. S. 2010. On-chip wireless optical broadcast interconnection network. J. Lightwave Techn. 28, 24, 3569--3577.

Cited By

View all
  • (2021)HyCo: A Low-Latency Hybrid Control Plane for Optical Interconnection Networks2021 IEEE International Workshop on Rapid System Prototyping (RSP)10.1109/RSP53691.2021.9806198(50-56)Online publication date: 14-Oct-2021
  • (2020)Reliability and Security Challenges in Electrical/Optical On-Chip Interconnects for IoT ApplicationsIoT Architectures, Models, and Platforms for Smart City Applications10.4018/978-1-7998-1253-1.ch011(218-246)Online publication date: 2020
  • (2019)Optics in Computing: From Photonic Network-on-Chip to Chip-to-Chip Interconnects and Disintegrated ArchitecturesJournal of Lightwave Technology10.1109/JLT.2018.287599537:2(363-379)Online publication date: 15-Jan-2019
  • Show More Cited By

Index Terms

  1. Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 7, Issue 2
    June 2011
    123 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/1970406
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 01 July 2011
    Accepted: 01 March 2011
    Revised: 01 January 2011
    Received: 01 August 2010
    Published in JETC Volume 7, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Interconnection networks
    2. Nanophotonics
    3. Networks-on-chip
    4. Optical interconnects

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 11 Jan 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)HyCo: A Low-Latency Hybrid Control Plane for Optical Interconnection Networks2021 IEEE International Workshop on Rapid System Prototyping (RSP)10.1109/RSP53691.2021.9806198(50-56)Online publication date: 14-Oct-2021
    • (2020)Reliability and Security Challenges in Electrical/Optical On-Chip Interconnects for IoT ApplicationsIoT Architectures, Models, and Platforms for Smart City Applications10.4018/978-1-7998-1253-1.ch011(218-246)Online publication date: 2020
    • (2019)Optics in Computing: From Photonic Network-on-Chip to Chip-to-Chip Interconnects and Disintegrated ArchitecturesJournal of Lightwave Technology10.1109/JLT.2018.287599537:2(363-379)Online publication date: 15-Jan-2019
    • (2019)Low-power and high-performance adaptive routing in on-chip networksCCF Transactions on High Performance Computing10.1007/s42514-019-00009-5Online publication date: 2-Jul-2019
    • (2019)Optical Versus Electrical: Performance Evaluation of Network On-Chip Topologies for UWASN Manycore ProcessorsWireless Personal Communications: An International Journal10.1007/s11277-019-06630-5116:2(963-991)Online publication date: 1-Aug-2019
    • (2018)TAONoC: A Regular Passive Optical Network-on-Chip Architecture Based on Comb SwitchesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.2885141(1-10)Online publication date: 2018
    • (2018)Review of Photonic and Hybrid On Chip Interconnects for MPSoCs in IoT Paradigm2018 21st Saudi Computer Society National Computer Conference (NCC)10.1109/NCG.2018.8593055(1-6)Online publication date: Apr-2018
    • (2018)Scheduling computation and communication on a software-defined photonic Network-on-Chip architecture for high-performance real-time systemsJournal of Systems Architecture10.1016/j.sysarc.2018.07.00790(54-71)Online publication date: Oct-2018
    • (2017)Low Polling Time TDM ONoC With Direction-Based Wavelength AssignmentJournal of Optical Communications and Networking10.1364/JOCN.9.0004799:6(479)Online publication date: 22-May-2017
    • (2017)A Survey on Optical Network-on-Chip ArchitecturesACM Computing Surveys10.1145/313134650:6(1-37)Online publication date: 6-Dec-2017
    • Show More Cited By

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media