Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2228360.2228564acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners

Published: 03 June 2012 Publication History

Abstract

SPICE-accurate simulation of present-day large-scale nonlinear integrated circuit (IC) systems with millions of linear/nonlinear components can be prohibitively expensive, and thus extremely challenging. In this paper, we present a novel support-circuit preconditioning (SCP) technique for tackling large-scale nonlinear circuit simulations by exploiting sparsified graphs of a given circuit network. By extracting support graphs (SGs) from the original linear circuit networks, and combining them with nonlinear devices, support-circuit preconditioner can be efficiently computed using existing matrix solvers, allowing for on-the-fly updates during transient simulations when adopted in Krylov-subspace iterative solvers. Experimental results for a variety of large-scale circuit designs show that the proposed method achieves up to 22X speedups in solving the matrices involved in DC and transient (TR) simulations, and up to 8X reduction in memory usage, when compared with the simulator powered by the state-of-the-art direct solver KLU.

References

[1]
T. Davis and E. Palamadai Natarajan. Algorithm 907: KLU, a direct sparse solver for circuit simulation problems. ACM Trans. Math. Softw., 37:36:1--36:17, 2010.
[2]
Y. Saad and M. Schultz. GMRES: a generalized minimal residual algorithm for solving nonsymmetric linear systems. SIAM J. Sci. Stat. Comput., pages 856--869, 1986.
[3]
Z. Li and C.-J.R. Shi. An efficiently preconditioned GMRES method for fast parasitic-sensitive deep-submicron VLSI circuit Simulation. In Proc. IEEE/ACM DATE, pages 752--757, 2005.
[4]
H. Thornquist, E. R. Keiter, R. J. Hoekstra, D. M. Day, and E. G. Boman. A parallel preconditioning strategy for efficient transistor-level circuit simulation. In Proc. IEEE/ACM ICCAD, pages 410--417, 2009.
[5]
Z. Feng and Z. Zeng. Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis. In Proc. IEEE/ACM DAC, pages 661--666, 2010.
[6]
X. Zhao, J. Wang, Z. Feng, and S. Hu. Power grid analysis with hierachical support graphs. In Proc. IEEE/ACM ICCAD, pages 543--547, 2011.
[7]
H. Peng and C. Cheng. Parallel transistor level circuit simulation using domain decomposition methods. In Proc. ACM/IEEE ASP-DAC, pages 397--402, 2009.
[8]
D. A. Spielman and S. Teng. Nearly-linear time algorithms for graph partitioning, graph sparsification, and solving linear systems. In Proc. ACM STOC, pages 81--90, 2004.
[9]
M. Bern, J. R. Gilbert, B. Hendrickson, N. Nguyen, and S. Toledo. Support-graph preconditioners. SIAM J. Matrix Anal. Appl., 27:930--951, 2006.
[10]
I. Koutis, G. L. Miller, A. Sinop, and David Tolliver. Combinatorial preconditioners and multilevel solvers for problems in computer vision and image processing. Technical report, CMU, 2009.
[11]
Z. Zeng, X. Ye, Z. Feng, and P. Li. Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation. In Proc. ACM/IEEE DAC, pages 831--836, 2010.
[12]
E. Boman, D. Chen, B. Hendrickson, and S. Toledo. Maximum-weight-basis preconditioners. Numerical Linear Algebra and Applications, 11:695--721, 2004.
[13]
E. Boman, B. Hendrickson, and S. Vavasis. Solving elliptic finite element systems in near-linear time with support preconditioners. SIAM J. Numer. Anal., 46:3264--3284, 2004.
[14]
M. S. Gupta, J. L. Oatley, R. Joseph, G. Wei, and D. M. Brooks. Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. In Proc. IEEE/ACM DATE, pages 1--6, 2007.
[15]
Z. Zeng, Z. Feng, and P. Li. Efficient checking of power delivery integrity for power gating. In Proc. ISQED, pages 1--8, 2011.
[16]
P. J. Restle, T. G. McNamara, D. A. Webber, P. J. Camporese, K. F. Eng, K. A. Jenkins, D. H. Allen, M. J. Rohn, M. P. Quaranta, D. W. Boerstler, C. J. Alpert, C. A. Carter, R. N. Bailey, J. G. Petrovick, B. L. Krauter, and B. D. McCredie. A clock distribution network for microprocessors. IEEE JSSC, 36(5):792--799, 2001.

Cited By

View all
  • (2022)feGRASS: Fast and Effective Graph Spectral Sparsification for Scalable Power Grid AnalysisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306064741:3(681-694)Online publication date: Mar-2022
  • (2020)GRASS: GRAph Spectral Sparsification Leveraging Scalable Spectral Perturbation AnalysisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.2968543(1-1)Online publication date: 2020
  • (2017)Related WorkParallel Sparse Direct Solver for Integrated Circuit Simulation10.1007/978-3-319-53429-9_2(13-41)Online publication date: 12-Feb-2017
  • Show More Cited By

Index Terms

  1. Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '12: Proceedings of the 49th Annual Design Automation Conference
    June 2012
    1357 pages
    ISBN:9781450311991
    DOI:10.1145/2228360
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 June 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. iterative methods
    2. preconditioner
    3. transient simulation

    Qualifiers

    • Research-article

    Conference

    DAC '12
    Sponsor:
    DAC '12: The 49th Annual Design Automation Conference 2012
    June 3 - 7, 2012
    California, San Francisco

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 02 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)feGRASS: Fast and Effective Graph Spectral Sparsification for Scalable Power Grid AnalysisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306064741:3(681-694)Online publication date: Mar-2022
    • (2020)GRASS: GRAph Spectral Sparsification Leveraging Scalable Spectral Perturbation AnalysisIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.2968543(1-1)Online publication date: 2020
    • (2017)Related WorkParallel Sparse Direct Solver for Integrated Circuit Simulation10.1007/978-3-319-53429-9_2(13-41)Online publication date: 12-Feb-2017
    • (2016)Spectral graph sparsification in nearly-linear time leveraging efficient spectral perturbation analysisProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898094(1-6)Online publication date: 5-Jun-2016
    • (2015)A Performance-Guided Graph Sparsification Approach to Scalable and Robust SPICE-Accurate Integrated Circuit SimulationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2015.242495834:10(1639-1651)Online publication date: Oct-2015
    • (2015)An Adaptive Graph Sparsification Approach to Scalable Harmonic Balance Analysis of Strongly Nonlinear Post-Layout RF CircuitsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2014.237699134:2(173-185)Online publication date: Feb-2015
    • (2014)Graph sparsification approaches to scalable integrated circuit modeling and simulations2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT)10.1109/ICSICT.2014.7021195(1-4)Online publication date: Oct-2014
    • (2013)An efficient graph sparsification approach to scalable harmonic balance (HB) analysis of strongly nonlinear RF circuitsProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561925(494-499)Online publication date: 18-Nov-2013
    • (2013)An efficient graph sparsification approach to scalable harmonic balance (HB) analysis of strongly nonlinear RF circuits2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2013.6691162(494-499)Online publication date: Nov-2013
    • (2012)GPSCPProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429473(429-435)Online publication date: 5-Nov-2012

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media