Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/240518.240605acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Identifying sequential redundancies without search

Published: 01 June 1996 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    M. Abramovici and M. A. Breuer, "On Redundancy and Fault Detection in Sequential Circuits," IEEE Trans. on Computers, vol. C-28, pp. 864-865, Nov. 1979.
    [2]
    A.D. Friedman, "Fault Detection in Redundant Circuits," IEEE Trans. on Electronic Computers, vol. EC-16, pp. 99-100, Feb. 1967.
    [3]
    S. Davidson, "Is IDDQ Yield Loss Inevitable ?" Proc. Intn'l. Test Conf., pp. 572-579, Oct. 1994.
    [4]
    K.T. Cheng, "On Removing Redundancy in Sequential Circuits," Proc. 28th. Design Automation Conf., pp. 164-169, June 1991.
    [5]
    K.T. Cheng, "An ATPG-Based Approach to Sequential Logic Optimization," Proc. Intn'l. Conf. on CAD, pp. 372-375, 1991.
    [6]
    K.T. Cheng, "Redundancy Removal for Sequential Circuits Without Reset States," IEEE Trans. on CAD, vol. 12, no. 1, pp. 13-24, Jan. 1993.
    [7]
    H. Cho, G. D. Hachtel and F. Somenzi, "Redundancy Identification/Removal and Test Generation for Sequential Circuits Using Implicit State Enumeration," IEEE Trans. on CAD, vol. 12, no. 7, pp. 935-945, July 1993.
    [8]
    V.D. Agrawal and S. T. Chakradhar, "Combinational ATPG Theorems for Identifying Untestable Faults in Sequential Circuits," Proc. European Test Conf., pp. 249-253, April 1993.
    [9]
    V.D. Agrawal and S. T. Chakradhar, "Combinational ATPG Theorems for Identifying Untestable Faults in Sequential Circuits," IEEE Trans. on CAD, vol. 14, no. 9, pp. 1155-1160, Sept. 1995.
    [10]
    I. Pomeranz and S. M. Reddy, "On Identifying Undetectable and Redundant Faults in Synchronous Sequential Circuits," 12th. IEEE VLSI Test Symp., pp. 8-14, April 1994.
    [11]
    I. Pomeranz and S. M. Reddy, "On Achieving Complete Testability of Synchronous Sequential Circuits with Synchronizing Sequences," Proc. Intn' 1. Test Conf., pp. 1007-1016, Oct. 1994.
    [12]
    L.A. Entrena and K. T. Cheng, "Sequential Logic Optimization By Redundancy Addition and Removal," Proc. Intn'l. Conf. on CAD, pp. 310-315, Nov. 1993.
    [13]
    L.A. Entrena and K. T. Cheng, "Combinational and Sequential Logic Optimization By Redundancy Addition and Removal," IEEE Trans. on CAD, vol. 14, no. 7, pp. 909-916, July 1995.
    [14]
    M.A. Iyer, "On Redundancy and Untestability in Sequential Circuits," Ph.D. Thesis, ECE Department, Illinois Institute of Technology, Chicago, IL - 60616, July 1995.
    [15]
    M. A. Iyer, D. E. Long, and M. Abramovici, "Surprises in Sequential Redundancy Identification," Proc. European Design and Test Conference, March 1996.
    [16]
    J. Moondanos and J. A. Abraham, "Sequential Redundancy Identification using Verification Techniques," Proc. Intn' 1. Test Conf., pp. 197-205, Sept. 1992.
    [17]
    M.A. Iyer and M. Abramovici, "Low-Cost Redundancy Identification for Combinational Circuits," Proc. 7th. Intn'l. Conf. on VLSIDesign, India, pp. 315-318, Jan. 1994.
    [18]
    M. A. Iyer and M. Abramovici, "FIRE: A Fault-Independent Combinational Redundancy Identification Algorithm," IEEE Trans. on VLSI Systems, June 1996 (to appear).
    [19]
    M.A. Iyer and M. Abramovici, "Sequentially Untestable Faults Identified Without Search (Simple Implications Beat Exhaustive Search!)," Proc. Intn' 1. Test Conf., pp. 259-266, Oct. 1994.
    [20]
    D.E. Long, M. A. Iyer and M. Abramovici, "Identifying Sequentially Untestable Faults Using Illegal States," 13th. IEEE VLSI Test Symp., pp. 4-11, May 1995.
    [21]
    V. Singhal, C. Pixley, A. Aziz and R. K. Brayton, "Exploiting Power-up Delay for Sequential Optimization," Proc. European Design Automation Conf., pp. 54-59, Sept. 1995.
    [22]
    M. Abramovici, J.J. Kulikowski, and R.K. Roy, "The Best Flip- Flops to Scan," Proc. Intn'l. Test Conf., pp. 166-173, Oct. 1991.
    [23]
    I. Pomeranz and S. M. Reddy, "Classification of Faults in Synchronous Sequential Circuits," IEEE Trans. on Computers, vol. 42, pp. 1066-1077, Sept. 1993.
    [24]
    V. Singhal, C. Pixley, R. L. Rudell and R. K. Brayton, "The Validity of Retiming Sequential Circuits," Proc. Design Automation Conf., pp. 316-321, June 1995.
    [25]
    C. E. Leiserson and J. B. Saxe, "Retiming Synchronous Circuitry," Algorithmica, vol. 6, pp. 5-35, 1991.
    [26]
    E Brglez, D. Bryan, and K. Kozminski, "Combinational Profiles of Sequential Benchmark Circuits," Proc. 1989 Intn'l Symp. on Circuits and Systems, pp. 1929-1934, May 1989.
    [27]
    T.J. Chakraborty, S. Davidson, and B. Bencivenga, "GENTEST: The Architecture of Sequential Circuit Test Generator," Proc. Custom Integrated Circuits Conf., May 1991.
    [28]
    T. Niermann and J. H. Patel, "HITEC: A Test Generation Package for Sequential Circuits," Proc. European Conf. on Design Automation, pp. 214-218, 1991.

    Cited By

    View all
    • (2022)Efficient Identification of Undetectable Two-Cycle Gate-Exhaustive FaultsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306276741:3(776-783)Online publication date: Mar-2022
    • (2018)A Sequentially Untestable Fault Identification Method Based on n-Bit State Cube Justification2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS)10.1109/IOLTS.2018.8474268(43-46)Online publication date: Jul-2018
    • (2012)Identifying Untestable Faults in Sequential Circuits Using Test Path ConstraintsJournal of Electronic Testing: Theory and Applications10.1007/s10836-012-5312-528:4(511-521)Online publication date: 1-Aug-2012
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '96: Proceedings of the 33rd annual Design Automation Conference
    June 1996
    839 pages
    ISBN:0897917790
    DOI:10.1145/240518
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 June 1996

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    DAC96
    Sponsor:
    DAC96: The 33rd Design Automation Conference
    June 3 - 7, 1996
    Nevada, Las Vegas, USA

    Acceptance Rates

    DAC '96 Paper Acceptance Rate 142 of 377 submissions, 38%;
    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)36
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 29 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Efficient Identification of Undetectable Two-Cycle Gate-Exhaustive FaultsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306276741:3(776-783)Online publication date: Mar-2022
    • (2018)A Sequentially Untestable Fault Identification Method Based on n-Bit State Cube Justification2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS)10.1109/IOLTS.2018.8474268(43-46)Online publication date: Jul-2018
    • (2012)Identifying Untestable Faults in Sequential Circuits Using Test Path ConstraintsJournal of Electronic Testing: Theory and Applications10.1007/s10836-012-5312-528:4(511-521)Online publication date: 1-Aug-2012
    • (2010)Combinational techniques for sequential equivalence checkingProceedings of the 2010 Conference on Formal Methods in Computer-Aided Design10.5555/1998496.1998524(145-150)Online publication date: 20-Oct-2010
    • (2009)Dynamic test compaction for a random test generation procedure with input cube avoidanceProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509786(672-677)Online publication date: 19-Jan-2009
    • (2009)Random test generation with input cube avoidanceIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200194317:1(45-54)Online publication date: 1-Jan-2009
    • (2009)Dynamic test compaction for a random test generation procedure with input cube avoidance2009 Asia and South Pacific Design Automation Conference10.1109/ASPDAC.2009.4796557(672-677)Online publication date: Jan-2009
    • (2009)Logic TestingWiley Encyclopedia of Computer Science and Engineering10.1002/9780470050118.ecse047(1770-1782)Online publication date: 16-Mar-2009
    • (2008)Expanded Definition of Functional Operation Conditions and its Effects on the Computation of Functional Broadside TestsProceedings of the 26th IEEE VLSI Test Symposium10.1109/VTS.2008.11(317-322)Online publication date: 27-Apr-2008
    • (2007)On test generation by input cube avoidanceProceedings of the conference on Design, automation and test in Europe10.5555/1266366.1266478(522-527)Online publication date: 16-Apr-2007
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media