Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Free access

FPGA programming for the masses

Published: 01 April 2013 Publication History

Abstract

The programmability of FPGAs must improve if they are to be part of mainstream computing.

References

[1]
Auerbach, J., Bacon, D.F., Burcea, I., Cheng, P., Fink, S.J., Rabbah, R. and Shukla, S. A compiler and runtime for heterogeneous computing. In Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (2012), 271--276.
[2]
Auerbach, J., Bacon, D. F., Cheng, P. and Rabbah, R. Lime: A Java-compatible and synthesizable language for heterogeneous architectures. In Proceedings of the ACM International Conference on Object-oriented Programming Systems Languages and Applications (2010), 89--108.
[3]
Aydonat, U., Denisenko, D., Freeman, J., Kinsner, M., Neto, D., Wong, J., Yiannacouras, P. and Singh, D.P. From OpenCL to high-performance hardware on FPGAs. In Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (2012), 531--534.
[4]
Bachrach, J., Richards, B., Vo, H., Lee, Y., Waterman, A., Avidienis, R., Wawrzynek, J. and Asanovic, K. Chisel: Constructing hardware in a scala-embedded language. In Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (2012), 1212--1221.
[5]
Berkeley Design Technology. An independent evaluation of the AutoESL AutoPilot high-level synthesis tool. Technical Report, 2010.
[6]
Brodtkorb, A.R., Dyken, C., Hagen, T.R., Hjelmervik, J.M. and storaasli, O.O. state-of-the-art in heterogeneous computing. Scientific Programming 18, 1 (2010).
[7]
Cardoso, J. and Diniz, P. Compilation Techniques for Reconfigurable Architectures. Springer, 2009.
[8]
Coussy, P. and Morawiec, A. High-level Synthesis: From Algorithm to Digital Circuit. Springer, 2008.
[9]
Dase, C., Falcon, J. S. and MacCleery, B. Motorcycle control prototyping using an FPGA-based embedded control system. IEEE Control Systems 26, 5 (2006), 17--21.
[10]
Dubach, C., Cheng, P., Rabbah, R., Bacon, D.F., Fink, S.J. Compiling a high-level language for GPUs: (via language support for architectures and compilers). In 33rd SIGPLAN Symposium for Programming Design and Implementation (2012), 1--12.
[11]
Edwards, S.A. High-Level Synthesis from the Synchronous Language Esterel. In IEEE/ACM International Workshop on Logic & Synthesis (2002), 401--406.
[12]
Greaves, D. and Singh, S. Designing application-specific circuits with concurrent C# programs. In Proceedings of the 8th ACM/IEEE International Conference on Formal Methods and Models for Codesign (2010).
[13]
Jaaskelainen, P.O., de La Lama, C.S., Huerta, P., Takala, J.H. OpenCL-based design methodology for application-specific processors. Embedded Computer Systems (2010), 223--230.
[14]
Nikhil, R.S. Abstraction in hardware system design. ACM Queue 9, 8 (2011); http://queue.acm.org/detail.cfm?id=2020861.
[15]
Owaida, M., Bellas, N., Daloukas, K. and Antonopoulos, C. Synthesis of platform architectures from OpenCL programs. In Field-programmable Custom Computing Machines (2012), 186--193.
[16]
Papakonstantinou, A., Karthik, G., Stratton, J. A., Chen, D., Cong, J. and Hwu, W.-M.W. 2009. FCUDA: Enabling efficient compilation of CUDA kernels onto FPGAs. In Application Specific Processors (2009), 35--42.
[17]
Sharma, S. and Chen, W. Using Model-based design to accelerate FPGA development for automotive applications. The MathWorks, 2009.
[18]
Sirowy, S. and Forin, A. Where's the beef? Why FPGAs are so fast. Microsoft Research Technical Report MSR-TR-2008-130, 2008.
[19]
Thomas, D.B., Howes, L., Luk, W. A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation. In ACM/SIGDA International Symposium on Field programmable Gate Arrays (2009), 22--24.
[20]
WinterGreen Research Inc. Programmable logic IC market shares and forecasts, worldwide, 2010 to 2016. Technical report, 2010.
[21]
Wulf, W.A. and McKee, S.A. Hitting the memory wall: Implications of the obvious. SIGARCH Computer Architecture News 23, 1 (1995), 20--24.
[22]
Xilinx. Command line tools user guide. Technical Report UG628 (14.3), 2012.
[23]
Xilinx. 7 series FPGAs overview. Technical Report DS180 (1.13), 2012.

Cited By

View all
  • (2024)An Open-Source And Extensible Framework for Fast Prototyping and Benchmarking of Spiking Neural Network Hardware2024 34th International Conference on Field-Programmable Logic and Applications (FPL)10.1109/FPL64840.2024.00042(250-256)Online publication date: 2-Sep-2024
  • (2024)Towards accessible software engineering for heterogeneous hardware2024 International Conference on Artificial Intelligence, Computer, Data Sciences and Applications (ACDSA)10.1109/ACDSA59508.2024.10467878(1-6)Online publication date: 1-Feb-2024
  • (2024)High-Level Programming of FPGA-Accelerated Systems with Parallel PatternsInternational Journal of Parallel Programming10.1007/s10766-024-00770-352:4(253-273)Online publication date: 1-Aug-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Communications of the ACM
Communications of the ACM  Volume 56, Issue 4
April 2013
90 pages
ISSN:0001-0782
EISSN:1557-7317
DOI:10.1145/2436256
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 April 2013
Published in CACM Volume 56, Issue 4

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article
  • Popular
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)415
  • Downloads (Last 6 weeks)49
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)An Open-Source And Extensible Framework for Fast Prototyping and Benchmarking of Spiking Neural Network Hardware2024 34th International Conference on Field-Programmable Logic and Applications (FPL)10.1109/FPL64840.2024.00042(250-256)Online publication date: 2-Sep-2024
  • (2024)Towards accessible software engineering for heterogeneous hardware2024 International Conference on Artificial Intelligence, Computer, Data Sciences and Applications (ACDSA)10.1109/ACDSA59508.2024.10467878(1-6)Online publication date: 1-Feb-2024
  • (2024)High-Level Programming of FPGA-Accelerated Systems with Parallel PatternsInternational Journal of Parallel Programming10.1007/s10766-024-00770-352:4(253-273)Online publication date: 1-Aug-2024
  • (2023)FlowPix: Accelerating Image Processing Pipelines on an FPGA Overlay using a Domain Specific CompilerACM Transactions on Architecture and Code Optimization10.1145/362952320:4(1-25)Online publication date: 25-Oct-2023
  • (2023)HIR: An MLIR-based Intermediate Representation for Hardware Accelerator DescriptionProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624767(189-201)Online publication date: 25-Mar-2023
  • (2023)Leveraging Hardware Probes and Optimizations for Accelerating Fuzz Testing of Heterogeneous ApplicationsProceedings of the 31st ACM Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering10.1145/3611643.3616318(1101-1113)Online publication date: 30-Nov-2023
  • (2023)Near-optimal multi-accelerator architectures for predictive maintenance at the edgeFuture Generation Computer Systems10.1016/j.future.2022.10.030140:C(331-343)Online publication date: 8-Feb-2023
  • (2022)Modern ağ trafiği analizi için derin paket incelemesi hakkında kapsamlı bir çalışma: sorunlar ve zorluklarÖmer Halisdemir Üniversitesi Mühendislik Bilimleri Dergisi10.28948/ngumuh.1184020Online publication date: 14-Nov-2022
  • (2022)Optimizing data reshaping operations in functional IRs for high-level synthesisProceedings of the 23rd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems10.1145/3519941.3535069(61-72)Online publication date: 14-Jun-2022
  • (2022)Memory-Aware Functional IR for Higher-Level Synthesis of AcceleratorsACM Transactions on Architecture and Code Optimization10.1145/350176819:2(1-26)Online publication date: 31-Jan-2022
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Digital Edition

View this article in digital edition.

Digital Edition

Magazine Site

View this article on the magazine site (external)

Magazine Site

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media