Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2451916.2451956acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Buffer sizing for clock networks using robust geometric programming considering variations in buffer sizes

Published: 24 March 2013 Publication History

Abstract

Minimizing power and skew for clock networks are critical and difficult tasks which can be greatly affected by buffer sizing. However, buffer sizing is a non-linear problem and most existing algorithms are heuristics that fail to obtain a global minimum. In addition, existing buffer sizing solutions do not usually consider manufacturing variations. Any design made without considering variation can fail to meet design constraints after manufacturing. In this paper, first we proposed an efficient optimization scheme based on geometric programming (GP) for buffer sizing of clock networks. Then, we extended the GP formulation to consider process variations in the buffer sizes using robust optimization (RO). The resultant variation-aware network is examined with SPICE and shown to be superior in terms of robustness to variations while decreasing area, power and average skew.

References

[1]
ISPD 2009 clock network synthesis contest. http://www.sigda.org/ispd/contests/09/ispd09cts.html.
[2]
Mosek 6.0. http://www.mosek.com.
[3]
ngspice 24. http://ngspice.sourceforge.net/.
[4]
C. Alpert and A. Devgan. Wire segmenting for improved buffer insertion. In Proc. of DAC, pages 588--593, 1998.
[5]
H. Bakoglu. Circuits, Interconnections, and Packaging for VLSI. Addison-Wesley, 1990.
[6]
H. Bakoglu, J. Walker, and J. Meindl. A symmetric clock distribution tree and optimized high speed interconnections for reduced clock skew in ULSI and WSI circuits. In Proc. ICCAD, pages 118--122, 1986.
[7]
D. Bertsimas, D. Brown, and C. Caramanis. Theory and applications of robust optimization. SIAM Review, 53:464--501, 2011.
[8]
S. Boyd and L. Vandenberghe. Convex Optimization. Cambridge University Press, 2004.
[9]
H. Chang and S. Sapatnekar. Statistical timing analysis considering spatial correlations using a single pert-like traversal. In Proc. of ICCAD, pages 621--625, 2003.
[10]
Y. Chang, C. Wang, and H. Chen. On construction low power and robust clock tree via slew budgeting. In Proc. of ISPD, pages 129--136, 2012.
[11]
T. Chao, Y. Hsu, J. Ho, K. Boese, and A. Kahng. Zero skew clock routing with minimum wirelength. IEEE Tran. on CAS, 39(11):799--814, 1992.
[12]
C. Chu and D. Wong. Closed form solution to simultaneous buffer insertion/sizing and wire sizing. TODAES, 6(6):343--371, 2001.
[13]
S. Dhar and M. Franklin. Optimum buffer circuits for driving long uniform lines. IEEE Journal of Solid-State Circuits, 26(1):32--40, 1991.
[14]
G. Flach, G. Wilke, M. Johann, and R. Reis. A Mesh-Buffer Displacement Optimization Strategy. In Proc. Annual Symposium on VLSI, pages 282--287, 2010.
[15]
M. Guthaus, D. Sylvester, and R. Brown. Clock buffer and wire sizing using sequential programming. In Proc. of DAC, pages 1041--1046, 2006.
[16]
M. Guthaus, D. Sylvester, and R. Brown. Process-induced skew reduction in nominal zero-skew clock trees. In Proc. of ASPDAC, pages 84--89, 2006.
[17]
M. Jackson, A. Srinivasan, and E. Kuh. Clock routing for high-performance ics. In Proc. DAC, pages 573--579, 1990.
[18]
A. Jagannathan, S. Hur, and J. Lillis. A fast algorithm for context-aware buffer insertion. In Proc. DAC, pages 368--373, 2000.
[19]
L. J. M. I. H. J. Kahng, A. VLSI Physical Design: From Graph Partitioning to Timing Closure. Springer, 2011.
[20]
N. Kurd, J. Barkarullah, R. Dizon, T. Fletcher, and P. Madland. A multigigahertz clocking scheme for the Pentium® 4 microprocessor. IEEE Journal of SSC, 36(11):1647--1653, 2001.
[21]
M. Lai and D. Wong. Maze routing with buffer insertion and wire sizing. In Proc. of DAC, pages 374--378, 2000.
[22]
D. Lee and I. Markov. Multilevel tree fusion for robust clock networks. In Proc. of ICCAD, pages 632--639, 2011.
[23]
J. Lillis, C. Cheng, and T. Lin. Optimal wire sizing and buffer insertion for low power and a generalized delay model. In Proc. of ICCAD, pages 138--143, 1995.
[24]
B. Liu, A. Kahng, X. Xu, J. Hu, and G. Venkataraman. A global minimum clock distribution network augmentation algorithm for guaranteed clock skew yield. In Proc. ASPDAC, pages 24--31, 2007.
[25]
B. Lu, J. Hu, G. Ellis, and H. Su. Process variation aware clock tree routing. In Proc. of ISPD, pages 174--181, 2003.
[26]
T. Mittal and C.-K. Koh. Cross link insertion for improving tolerance to variations in clock network synthesis. In Proc. ISPD, 2011.
[27]
F. Niu, Q. Zhou, H. Yao, Y. Cai, J. Yang, and C. Sze. Obstacle-avoiding and slew-constrained buffered clock tree synthesis for skew optimization. In Proc. of GLSVLSI, pages 199--204, 2011.
[28]
G. Posser, A. Ziesemer, D. Guimares, G. Wilke, and R. Reis. A study on layout quality of automatic generated cells. In Proc. of ICECS, pages 651--654, 2010.
[29]
A. Rajaram, J. Hu, and R. Mahapatra. Reducing clock skew variability via cross links. In Proc. DAC, pages 18--23, 2004.
[30]
A. Rajaram, D. Pan, and J. Hu. Improved algorithms for link-based non-tree clock networks for skew variability reduction. In Proc. ISPD, pages 55--62, 2005.
[31]
J. Singh, V. Nookala, Z. Luo, and S. Sapatnekar. Robust gate sizing by geometric programming. In Proc. DAC, pages 315--320, 2005.
[32]
H. Su and S. Sapatnekar. Hybrid structured clock network construction. In Proc. ICCAD, pages 333--336, 2001.
[33]
M. Toyonaga, K. Kurokawa, T. Yasui, and A. Takahashi. A practical clock tree synthesis for semi-synchronous circuits. In Proc. of ISPD, pages 159--164, 2000.
[34]
L. van Ginneken. Buffer placement in distributed RC-tree networks for minimal elmore delay. In Proc. ISCAS, volume 2, pages 865--868, 1990.
[35]
K. Wang, Y. Ran, H. Jiang, and M. Marek-Sadowska. General skew constrained clock network sizing based on sequential linear programming. IEEE Trans. on CAD, 24(5):773--782, 2005.
[36]
Q. Zhu. High-Speed Clock Network Design. Boston: Kluwer Academic Publishers., 2003.
[37]
A. Ziesemer and C. Lazzar. Transistor level automatic layout generator for non-complementary cmos cells. In Proc. of VLSI - SoC, pages 116--121, 2007.

Cited By

View all
  • (2020)Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock DistributionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288975639:2(478-491)Online publication date: Feb-2020
  • (2019)Latency constraint guided buffer sizing and layer assignment for clock trees with useful skewProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287681(761-766)Online publication date: 21-Jan-2019
  • (2019)Clock Skew Optimization for Voltage Variation2019 China Semiconductor Technology International Conference (CSTIC)10.1109/CSTIC.2019.8755674(1-3)Online publication date: Mar-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISPD '13: Proceedings of the 2013 ACM International symposium on Physical Design
March 2013
194 pages
ISBN:9781450319546
DOI:10.1145/2451916
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 24 March 2013

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. clock network
  2. geometric programming
  3. robust optimization

Qualifiers

  • Research-article

Conference

ISPD'13
Sponsor:
ISPD'13: International Symposium on Physical Design
March 24 - 27, 2013
Nevada, Stateline, USA

Acceptance Rates

Overall Acceptance Rate 62 of 172 submissions, 36%

Upcoming Conference

ISPD '25
International Symposium on Physical Design
March 16 - 19, 2025
Austin , TX , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)11
  • Downloads (Last 6 weeks)2
Reflects downloads up to 28 Dec 2024

Other Metrics

Citations

Cited By

View all
  • (2020)Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock DistributionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.288975639:2(478-491)Online publication date: Feb-2020
  • (2019)Latency constraint guided buffer sizing and layer assignment for clock trees with useful skewProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287681(761-766)Online publication date: 21-Jan-2019
  • (2019)Clock Skew Optimization for Voltage Variation2019 China Semiconductor Technology International Conference (CSTIC)10.1109/CSTIC.2019.8755674(1-3)Online publication date: Mar-2019
  • (2017)Boundary optimization of buffered clock trees for low powerIntegration, the VLSI Journal10.1016/j.vlsi.2016.10.00456:C(86-95)Online publication date: 1-Jan-2017
  • (2016)Clock Design and SynthesisElectronic Design Automation for IC Implementation, Circuit Design, and Process Technology10.1201/b19714-13(261-282)Online publication date: 14-Apr-2016
  • (2016)Variation-aware clock network buffer sizing using robust multi-objective optimizationOptimization and Engineering10.1007/s11081-016-9317-217:2(473-500)Online publication date: 1-Apr-2016
  • (2015)Fast synthesis of low power clock trees based on register clusteringSixteenth International Symposium on Quality Electronic Design10.1109/ISQED.2015.7085444(303-309)Online publication date: Mar-2015
  • (2015)An efficient buffer sizing algorithm for clock trees considering process variations2015 6th Asia Symposium on Quality Electronic Design (ASQED)10.1109/ACQED.2015.7274017(108-113)Online publication date: Aug-2015
  • (2015)Register Clustering Methodology for Low Power Clock Tree SynthesisJournal of Computer Science and Technology10.1007/s11390-015-1531-430:2(391-403)Online publication date: 13-Mar-2015
  • (2014)Variation-Aware Geometric Programming Models for the Clock Network Buffer Sizing ProblemIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2013.229306733:4(532-545)Online publication date: Apr-2014
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media