Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2485922.2485959acmotherconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Bit mapping for balanced PCM cell programming

Published: 23 June 2013 Publication History

Abstract

Write bandwidth is an inherent performance bottleneck for Phase Change Memory (PCM) for two reasons. First, PCM cells have long programming time, and second, only a limited number of PCM cells can be programmed concurrently due to programming current and write circuit constraints,
For each PCM write, the data bits of the write request are typically mapped to multiple cell groups and processed in parallel. We observed that an unbalanced distribution of modified data bits among cell groups significantly increases PCM write time and hurts effective write bandwidth. To address this issue, we first uncover the cyclical and cluster patterns for modified data bits. Next, we propose double XOR mapping (D-XOR) to distribute modified data bits among cell groups in a balanced way. D-XOR can reduce PCM write service time by 45% on average, which increases PCM write throughput by 1.8x. As error correction (redundant bits) is critical for PCM, we also consider the impact of redundancy information in mapping data and error correction bits to cell groups. Our techniques lead to a 51% average reduction in write service time for a PCM main memory with ECC, which increases IPC by 12%.

References

[1]
C. F. Chen et al., "Accurate and complexity-effective spatial pattern prediction," in Proc. of the 10th Int'l Symp. on High Performance Computer Architecture (HPCA), Feb. 2004.
[2]
S. Cho and H. Lee, "Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance," in Proc. of the 42nd Int'l Symp. on Microarchitecture (MICRO), Dec. 2009.
[3]
Y. Choi et al., "A 20nm 1.8V 8Gb PRAM with 40MB/s program bandwidth," in Int'l Solid-State Circuits Conference (ISSCC), Feb. 2012.
[4]
T. J. Dell., "A white paper on the benefits of chipkill-correct ECC for PC server main memory." 1997, IBM Microelectronics Division.
[5]
A. González et al., "Eliminating cache conflict misses through XOR-based placement functions," in Proc. of the 11th Int'l Conf. on Supercomputing (ICS), 1997.
[6]
A. Hay et al., "Preventing PCM banks from seizing too much power," in Proc. of the 44th Int'l Symp. on Microarchitecture (MICRO), Dec. 2011.
[7]
M. Y. Hsiao, "A class of optimal minimum odd-weight-column SEC-DED codes," IBM Journal of Research and Development, vol. 14, no. 4, Jul. 1970.
[8]
E. Ipek et al., "Dynamically replicated memory: Building reliable systems from nanoscale resistive memories," in Proc. of the 10th Int'l Conf on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar. 2010.
[9]
L. Jiang et al., "LLS: cooperative integration of wear-leveling and salvaging for PCM main memory," in Proc. of the 41st Int'l Conf. on Dependable Systems Networks (DSN), Jun. 2011.
[10]
L. Jiang et al., "FPB: fine-grained power budgeting to improve write throughput of multi-level cell phase change memory," in Proc. of the 45nd Int'l Symp. on Microarchitecture (MICRO), Dec. 2012.
[11]
L. Jiang et al., "Improving write operations in MLC phase change memory," in Proc. of the 18th Int'l Symp. on High Performance Computer Architecture (HPCA), Feb. 2012.
[12]
D. E. Kim, C. K. Kwak, and K. J. Lee, "Nonvolatile memory device and related methods of operation," 2011, U. S. Patent 7,876,609 B2.
[13]
B. C. Lee et al., "Architecting phase change memory as a scalable DRAM alternative," in Proc. of the 36th Int'l Symp. on Computer Architecture (ISCA), 2009.
[14]
K.-J. Lee et al., "A 90nm 1.8V 512Mb diode-switch PRAM with 266MB/s read throughput," in Int'l Solid-State Circuits Conference (ISSCC), Feb. 2007.
[15]
P. Magnusson et al., "Simics: A full system simulation platform," Computer, vol. 35, no. 2, Feb. 2002.
[16]
O. Pearce et al., "Quantifying the effectiveness of load balance algorithms," in Proc. of the 26th Int'l Conf. on Supercomputing (ICS), Jun. 2012.
[17]
B. Predictors and S. McFarling, "Combining branch predictors," 1993.
[18]
M. Qureshi, M. Franceschini, and L. Lastras-Montano, "Improving read performance of phase change memories via write cancellation and write pausing," in Proc. of the 16th Int'l Symp. on High Performance Computer Architecture (HPCA), Jan. 2010.
[19]
M. K. Qureshi, "Pay-as-you-go: low-overhead hard-error correction for phase change memories," in Proc. of the 44th Int'l Symp. on Microarchitecture (MICRO), Dec. 2011.
[20]
M. K. Qureshi et al., "Morphable memory system: a robust architecture for exploiting multi-level phase change memories," in Proc. of the 37th Int'l Symp. on Computer Architecture (ISCA), Jun. 2010.
[21]
M. K. Qureshi et al., "Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling," in Proc. of the 42nd Int'l Symp. on Microarchitecture (MICRO), Dec. 2009.
[22]
S. Schechter et al., "Use ECP, not ECC, for hard failures in resistive memories," in Proc. of the 37th Int'l Symp. on Computer Architecture (ISCA), Jun. 2010.
[23]
N. H. Seong, D. H. Woo, and H.-H. S. Lee, "Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping," in Proc. of the 37th Int'l Symp. on Computer Architecture (ISCA), Jun. 2010.
[24]
S. Somogyi et al., "Spatial memory streaming," in Proc. of the 33rd Int'l Symp. on Computer Architecture (ISCA), Jun. 2006.
[25]
M. Valero, T. Lang, and E. Ayguadé, "Conflict-free access of vectors with power-of-two strides," in Proc. of the 6th Int'l Conf. on Supercomputing (ICS), 1992.
[26]
C. Villa et al., "A 45nm 1Gb 1.8V phase-change memory," in Int'l Solid-State Circuits Conference (ISSCC), Feb. 2010.
[27]
J. Wang et al., "Energy-efficient multi-level cell phase-change memory system with data encoding," in Proc. of the 29th Int'l Conf. on Computer Design (ICCD), Oct. 2011.
[28]
W. Xu, J. Liu, and T. Zhang, "Data manipulation techniques to reduce phase change memory write energy," in Proc. of the 14th Int'l Symp. on Low Power Electronics and Design (ISLPED), 2009.
[29]
B. D. Yang et al., "A low power phase-change random access memory using a data-comparison write scheme," in Proc. of the IEEE Int'l Symp. on Circuits and Systems (ISCAS), May 2007.
[30]
J. Yang and R. Gupta, "Frequent value locality and its applications," ACM Trans. Embed. Comput. Syst., vol. 1, no. 1, Nov. 2002.
[31]
D. H. Yoon et al., "FREE-p: protecting non-volatile memory against both hard and soft errors," in Proc. of the 17th Int'l Symp. on High Performance Computer Architecture (HPCA), Feb. 2011.
[32]
J. Yue and Y. Zhu, "Accelerating write by exploiting PCM asymmetries," in Proc. of the 19th Int'l Symp. on High Performance Computer Architecture (HPCA), Feb. 2013.
[33]
W. Zhang and T. Li, "Characterizing and mitigating the impact of process variations on phase change based memory systems," in Proc. of the 42nd Int'l Symp. on Microarchitecture (MICRO), Dec. 2009.
[34]
Z. Zhang, Z. Zhu, and X. Zhang, "A permutation-based page interleaving scheme to reduce row-buffer conflicts and exploit data locality," in Proc. of the 33rd Int'l Symp. on Microarchitecture (MICRO), Dec. 2000.
[35]
P. Zhou et al., "A durable and energy efficient main memory using phase change memory technology," in Proc. of the 36th Int'l Symp. on Computer Architecture (ISCA), Jun. 2009.

Cited By

View all
  • (2023)Extending Memory Capacity in Modern Consumer Systems With Emerging Non-Volatile Memory: Experimental Analysis and Characterization Using the Intel Optane SSDIEEE Access10.1109/ACCESS.2023.331788411(105843-105871)Online publication date: 2023
  • (2022)Architecting Optically Controlled Phase Change MemoryACM Transactions on Architecture and Code Optimization10.1145/353325219:4(1-26)Online publication date: 7-Dec-2022
  • (2022)ADAPT: A Write Disturbance-Aware Programming Technique for Scaled Phase Change MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306870441:4(950-963)Online publication date: Apr-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
ISCA '13: Proceedings of the 40th Annual International Symposium on Computer Architecture
June 2013
686 pages
ISBN:9781450320795
DOI:10.1145/2485922
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 41, Issue 3
    ICSA '13
    June 2013
    666 pages
    ISSN:0163-5964
    DOI:10.1145/2508148
    Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

  • IEEE CS

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 23 June 2013

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. memory write performance
  2. phase-change memory

Qualifiers

  • Research-article

Funding Sources

Conference

ISCA'13
Sponsor:

Acceptance Rates

ISCA '13 Paper Acceptance Rate 56 of 288 submissions, 19%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)34
  • Downloads (Last 6 weeks)5
Reflects downloads up to 13 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Extending Memory Capacity in Modern Consumer Systems With Emerging Non-Volatile Memory: Experimental Analysis and Characterization Using the Intel Optane SSDIEEE Access10.1109/ACCESS.2023.331788411(105843-105871)Online publication date: 2023
  • (2022)Architecting Optically Controlled Phase Change MemoryACM Transactions on Architecture and Code Optimization10.1145/353325219:4(1-26)Online publication date: 7-Dec-2022
  • (2022)ADAPT: A Write Disturbance-Aware Programming Technique for Scaled Phase Change MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306870441:4(950-963)Online publication date: Apr-2022
  • (2022)CEnT: An Efficient Architecture to Eliminate Intra-Array Write Disturbance in PCMIEEE Transactions on Computers10.1109/TC.2021.306857771:5(992-1007)Online publication date: 1-May-2022
  • (2020)ECC cacheProceedings of the 39th International Conference on Computer-Aided Design10.1145/3400302.3415650(1-9)Online publication date: 2-Nov-2020
  • (2019)Integration and Boost of a Read-Modify-Write Module in Phase Change Memory SystemIEEE Transactions on Computers10.1109/TC.2019.293382668:12(1772-1784)Online publication date: 1-Dec-2019
  • (2019)ExTENDS: Efficient Data Placement and Management for Next Generation PCM-Based Storage SystemsIEEE Access10.1109/ACCESS.2019.29407657(148718-148730)Online publication date: 2019
  • (2018)An efficient PCM-based main memory system via exploiting fine-grained dirtiness of cachelines2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2018.8342273(1616-1621)Online publication date: Mar-2018
  • (2018)Improving MLC PCM Performance through Relaxed Write and Read for Intermediate Resistance LevelsACM Transactions on Architecture and Code Optimization10.1145/317796515:1(1-31)Online publication date: 22-Mar-2018
  • (2017)Content-Aware Bit Shuffling for Maximizing PCM EnduranceACM Transactions on Design Automation of Electronic Systems10.1145/301744522:3(1-26)Online publication date: 23-May-2017
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media